OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_agent.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
 
3
class apb_agent extends uvm_agent;
4
        `uvm_component_utils(apb_agent)
5
 
6
apb_seqr apb_sqr;
7
apb_driver apb_drvr;
8
apb_monitor apb_montr;
9
apb_env_config apb_env_cfg;
10
uvm_analysis_port #(apb_seq_item) apb_agent_ap;
11
 
12
uvm_active_passive_enum is_active=UVM_ACTIVE;
13
 
14
function new(string name, uvm_component parent)
15
        super.new(name,parent);
16
endfunction
17
 
18
 
19
function build_phase(uvm_phase phase)
20
        super.build();
21
        if(is_active==UVM_ACTIVE)begin
22
                drvr = apb_driver::type_id::create("drvr",this);
23
                sqr = apb_sequencer::type_id::create("sqr",this);
24
        end
25
        apb_agent_ap = new();
26
        //montr = apb_monitor::type_id::create("montr",this);
27
        //cfg = apb_config::type_id::create("cfg",this);
28
endfunction
29
 
30
function connect_phase();
31
endfunction
32
 
33
 
34
endclass
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.