OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_if.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
interface apb_if;
3
 // APB SLAVE PORT INTERFACE
4
 logic                         PCLK;
5
 logic                         PRESETn;
6
 logic [`APB_ADDR_WIDTH-1:0 ]  PADDR;
7
 logic                         PWRITE;
8
 logic [`NUM_SLV-1:0]          PSEL;
9
 logic                         PENABLE;
10
 logic [`APB_DATA_WIDTH-1:0 ]  PWDATA;
11
 logic [`APB_DATA_WIDTH-1:0 ]  PRDATA;
12
 logic                         PREADY;
13
 logic                         TrFr;
14
endinterface

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.