OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_intf.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
interface apb_intf;
3
                logic [3:0] paddr;
4
                logic pwrite;
5
                logic [1:0] psel;
6
                logic penable;
7
                wire  pready;
8
                logic [31:0] pwdata;
9
                wire  [31:0] prdata;
10
                logic pclk;
11
                logic presetn;
12
 
13
endinterface

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.