OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_monitor.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
class apb_monitor extends uvm_monitor;
3
        `uvm_component_utils(apb_monitor)
4
 
5
        uvm_analysis_port#(apb_seq_item) apb_ap;
6
        virtual apb_if mon_v_intf;
7
 
8
function new(string name="apb_monitor",uvm_component parent);
9
        super.new(name,parent);
10
        apb_ap=new("apb_ap",this);
11
endfunction
12
 
13
function void build_phase(uvm_phase phase);
14
        if(!uvm_config_db#(virtual apb_if)::get(this,"*","apb_intf1",mon_v_intf))
15
                `uvm_fatal("NO_APB_MON_V_INTF","Virtual interface couldn't be obtained for apb monitor")
16
endfunction
17
 
18
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.