OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_seq_item.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
class apb_seq_item extends uvm_sequence_item;
3
 
4
                rand bit [`APB_ADDR_WIDTH-1:0] paddr;
5
                rand bit pwrite;
6
                rand bit [`APB_DATA_WIDTH-1:0] pwdata;
7
                rand bit presetn;
8
                //bit psel;
9
                //bit penable;
10
                //bit pready;
11
                bit [`APB_DATA_WIDTH-1:0] prdata;
12
 
13
        `uvm_object_utils_begin(apb_seq_item)
14
                `uvm_field_int(paddr,UVM_ALL_ON)
15
                `uvm_field_int(pwrite,UVM_ALL_ON)
16
                `uvm_field_int(pwdata,UVM_ALL_ON)
17
                `uvm_field_int(presetn,UVM_ALL_ON)
18
        `uvm_object_utils_end
19
 
20
        function new(string name="");
21
                super.new(name);
22
        endfunction
23
 
24
 
25
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.