OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [spi_agent/] [spi_seq_item.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 vlnaran
 
2
class spi_seq_item extends uvm_sequence_item;
3
 
4
        `uvm_object_utils(spi_seq_item)
5
 
6
                bit [`SPI_REG_WIDTH-1:0] wdata;
7
                bit [`SPI_REG_WIDTH-1:0] rdata;
8
                bit [`SPI_REG_WIDTH-1:0] ss0_data;
9
 
10
        function new(string name="");
11
                super.new(name);
12
        endfunction
13
 
14
 
15
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.