OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [prj_pkg.sv] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 vlnaran
 
2
`include "defines.v"
3
`include "spi_if.sv"
4
`include "apb_if.sv"
5
`include "uvm_macros.svh"
6
 
7
package prj_pkg;
8
 
9
        import uvm_pkg::*;
10
        `include "apb_seq_item.sv"
11
        `include "apb_monitor.sv"
12
        `include "apb_driver.sv"
13
        `include "apb_seqr.sv"
14
 
15
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.