OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [prj_pkg.svh] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 vlnaran
 
2
        `include "spi_if.sv";
3
        `include "apb_if.sv";
4
 
5
package proj_pkg;
6
 
7
 
8
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.