OpenCores
URL https://opencores.org/ocsvn/async_8b10b_encoder_decoder/async_8b10b_encoder_decoder/trunk

Subversion Repositories async_8b10b_encoder_decoder

[/] [async_8b10b_encoder_decoder/] [trunk/] [Dec8B10B.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 redblue200
----------------------------------------------------------------------------------
2
-- Company              : OCST Co.,Ltd.
3
-- Engineer             : RyuShinHyung
4
-- 
5
-- Create Date  : 02/23/2005
6
-- Design Name  : 
7
-- Module Name  : DEC8B10B - RTL
8
-- Project Name : DSP Application
9
--
10
-- Revision
11
-- Revision 0.01 - File Created
12
-- Comments             : General DEC8B10B
13
--
14
----------------------------------------------------------------------------------
15
library IEEE;
16
use IEEE.STD_LOGIC_1164.ALL;
17
use IEEE.STD_LOGIC_ARITH.ALL;
18
use IEEE.STD_LOGIC_UNSIGNED.ALL;
19
use work.vect_pack.ALL;
20
 
21
entity DEC8B10B is
22
        port
23
        (
24
                CLK_IN : IN STD_LOGIC;
25
                ENCODE_IN : in STD_LOGIC_VECTOR(9 downto 0);
26
                CTRL_OUT : out STD_LOGIC;
27
                DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0)
28
        );
29
--attribute FAST : string;
30
--attribute SLOW : string;
31
--attribute FAST of DEC8B10B : entity is "TRUE";
32
--attribute SLOW of DEC8B10B : entity is "FALSE";       
33
end DEC8B10B;
34
 
35
architecture RTL of DEC8B10B is
36
 
37
constant DEC8b10bERR : std_logic_vector := "100000000";
38
type TYPE_DEC8b10b is array (0 to 1023) of std_logic_vector (8 downto 0); -- Ctrl & Value
39
signal TBL_DEC8b10b : TYPE_DEC8b10b:=
40
        (
41
                DEC8b10bERR,    -- "0000000000" 
42
                DEC8b10bERR,    -- "0000000001" 
43
                DEC8b10bERR,    -- "0000000010" 
44
                DEC8b10bERR,    -- "0000000011" 
45
                DEC8b10bERR,    -- "0000000100" 
46
                DEC8b10bERR,    -- "0000000101" 
47
                DEC8b10bERR,    -- "0000000110" 
48
                DEC8b10bERR,    -- "0000000111" 
49
                DEC8b10bERR,    -- "0000001000" 
50
                DEC8b10bERR,    -- "0000001001" 
51
                DEC8b10bERR,    -- "0000001010" 
52
                DEC8b10bERR,    -- "0000001011" 
53
                DEC8b10bERR,    -- "0000001100" 
54
                DEC8b10bERR,    -- "0000001101" 
55
                DEC8b10bERR,    -- "0000001110" 
56
                DEC8b10bERR,    -- "0000001111" 
57
                DEC8b10bERR,    -- "0000010000" 
58
                DEC8b10bERR,    -- "0000010001" 
59
                DEC8b10bERR,    -- "0000010010" 
60
                DEC8b10bERR,    -- "0000010011" 
61
                DEC8b10bERR,    -- "0000010100" 
62
                DEC8b10bERR,    -- "0000010101" 
63
                DEC8b10bERR,    -- "0000010110" 
64
                DEC8b10bERR,    -- "0000010111" 
65
                DEC8b10bERR,    -- "0000011000" 
66
                DEC8b10bERR,    -- "0000011001" 
67
                DEC8b10bERR,    -- "0000011010" 
68
                DEC8b10bERR,    -- "0000011011" 
69
                DEC8b10bERR,    -- "0000011100" 
70
                DEC8b10bERR,    -- "0000011101" 
71
                DEC8b10bERR,    -- "0000011110" 
72
                DEC8b10bERR,    -- "0000011111" 
73
                DEC8b10bERR,    -- "0000100000" 
74
                DEC8b10bERR,    -- "0000100001" 
75
                DEC8b10bERR,    -- "0000100010" 
76
                DEC8b10bERR,    -- "0000100011" 
77
                DEC8b10bERR,    -- "0000100100" 
78
                DEC8b10bERR,    -- "0000100101" 
79
                DEC8b10bERR,    -- "0000100110" 
80
                DEC8b10bERR,    -- "0000100111" 
81
                DEC8b10bERR,    -- "0000101000" 
82
                DEC8b10bERR,    -- "0000101001" 
83
                DEC8b10bERR,    -- "0000101010" 
84
                DEC8b10bERR,    -- "0000101011" 
85
                DEC8b10bERR,    -- "0000101100" 
86
                DEC8b10bERR,    -- "0000101101" 
87
                DEC8b10bERR,    -- "0000101110" 
88
                DEC8b10bERR,    -- "0000101111" 
89
                DEC8b10bERR,    -- "0000110000" 
90
                DEC8b10bERR,    -- "0000110001" 
91
                DEC8b10bERR,    -- "0000110010" 
92
                DEC8b10bERR,    -- "0000110011" 
93
                DEC8b10bERR,    -- "0000110100" 
94
                DEC8b10bERR,    -- "0000110101" 
95
                DEC8b10bERR,    -- "0000110110" 
96
                DEC8b10bERR,    -- "0000110111" 
97
                DEC8b10bERR,    -- "0000111000" 
98
                DEC8b10bERR,    -- "0000111001" 
99
                DEC8b10bERR,    -- "0000111010" 
100
                DEC8b10bERR,    -- "0000111011" 
101
                DEC8b10bERR,    -- "0000111100" 
102
                DEC8b10bERR,    -- "0000111101" 
103
                DEC8b10bERR,    -- "0000111110" 
104
                DEC8b10bERR,    -- "0000111111" 
105
                DEC8b10bERR,    -- "0001000000" 
106
                DEC8b10bERR,    -- "0001000001" 
107
                DEC8b10bERR,    -- "0001000010" 
108
                DEC8b10bERR,    -- "0001000011" 
109
                DEC8b10bERR,    -- "0001000100" 
110
                DEC8b10bERR,    -- "0001000101" 
111
                DEC8b10bERR,    -- "0001000110" 
112
                DEC8b10bERR,    -- "0001000111" 
113
                DEC8b10bERR,    -- "0001001000" 
114
                DEC8b10bERR,    -- "0001001001" 
115
                DEC8b10bERR,    -- "0001001010" 
116
                "011101011",    -- "0001001011", -D11.7+, [1,1]
117
                DEC8b10bERR,    -- "0001001100" 
118
                "011101101",    -- "0001001101", -D13.7+, [2,2]
119
                "011101110",    -- "0001001110", -D14.7+, [3,3]
120
                DEC8b10bERR,    -- "0001001111" 
121
                DEC8b10bERR,    -- "0001010000" 
122
                DEC8b10bERR,    -- "0001010001" 
123
                DEC8b10bERR,    -- "0001010010" 
124
                "111110011",    -- "0001010011", -K19.7+, [4,1]
125
                DEC8b10bERR,    -- "0001010100" 
126
                "111110101",    -- "0001010101", -K21.7+, [5,2]
127
                "111110110",    -- "0001010110", -K22.7+, [6,3]
128
                "111110111",    -- "0001010111", +K23.7+, [7,4]
129
                DEC8b10bERR,    -- "0001011000" 
130
                "111111001",    -- "0001011001", -K25.7+, [8,5]
131
                "111111010",    -- "0001011010", -K26.7+, [9,6]
132
                "111111011",    -- "0001011011", +K27.7+, [10,7]
133
                DEC8b10bERR,    -- "0001011100" 
134
                "111111101",    -- "0001011101", +K29.7+, [11,8]
135
                "111111110",    -- "0001011110", +K30.7+, [12,9]
136
                DEC8b10bERR,    -- "0001011111" 
137
                DEC8b10bERR,    -- "0001100000" 
138
                DEC8b10bERR,    -- "0001100001" 
139
                DEC8b10bERR,    -- "0001100010" 
140
                "111100011",    -- "0001100011", -K3.7+, [13,10]
141
                DEC8b10bERR,    -- "0001100100" 
142
                "111100101",    -- "0001100101", -K5.7+, [14,11]
143
                "111100110",    -- "0001100110", -K6.7+, [15,12]
144
                "111101000",    -- "0001100111", +K8.7+, [16,13]
145
                DEC8b10bERR,    -- "0001101000" 
146
                "111101001",    -- "0001101001", -K9.7+, [17,14]
147
                "111101010",    -- "0001101010", -K10.7+, [18,15]
148
                "111100100",    -- "0001101011", +K4.7+, [19,16]
149
                "111101100",    -- "0001101100", -K12.7+, [20,17]
150
                "111100010",    -- "0001101101", +K2.7+, [21,18]
151
                "111100001",    -- "0001101110", +K1.7+, [22,19]
152
                DEC8b10bERR,    -- "0001101111" 
153
                DEC8b10bERR,    -- "0001110000" 
154
                "111110001",    -- "0001110001", -K17.7+, [23,20]
155
                "111110010",    -- "0001110010", -K18.7+, [24,21]
156
                "111111000",    -- "0001110011", +K24.7+, [25,22]
157
                "111110100",    -- "0001110100", -K20.7+, [26,23]
158
                "111111111",    -- "0001110101", +K31.7+, [27,24]
159
                "111110000",    -- "0001110110", +K16.7+, [28,25]
160
                DEC8b10bERR,    -- "0001110111" 
161
                "111100111",    -- "0001111000", -K7.7+, [29,26]
162
                "111100000",    -- "0001111001", +K0.7+, [30,27]
163
                "111101111",    -- "0001111010", +K15.7+, [31,28]
164
                DEC8b10bERR,    -- "0001111011" 
165
                "111111100",    -- "0001111100", +K28.7+, [32,29]
166
                DEC8b10bERR,    -- "0001111101" 
167
                DEC8b10bERR,    -- "0001111110" 
168
                DEC8b10bERR,    -- "0001111111" 
169
                DEC8b10bERR,    -- "0010000000" 
170
                DEC8b10bERR,    -- "0010000001" 
171
                DEC8b10bERR,    -- "0010000010" 
172
                DEC8b10bERR,    -- "0010000011" 
173
                DEC8b10bERR,    -- "0010000100" 
174
                DEC8b10bERR,    -- "0010000101" 
175
                DEC8b10bERR,    -- "0010000110" 
176
                DEC8b10bERR,    -- "0010000111" 
177
                DEC8b10bERR,    -- "0010001000" 
178
                DEC8b10bERR,    -- "0010001001" 
179
                DEC8b10bERR,    -- "0010001010" 
180
                "000001011",    -- "0010001011", -D11.0+, [33,4]
181
                DEC8b10bERR,    -- "0010001100" 
182
                "000001101",    -- "0010001101", -D13.0+, [34,5]
183
                "000001110",    -- "0010001110", -D14.0+, [35,6]
184
                DEC8b10bERR,    -- "0010001111" 
185
                DEC8b10bERR,    -- "0010010000" 
186
                DEC8b10bERR,    -- "0010010001" 
187
                DEC8b10bERR,    -- "0010010010" 
188
                "000010011",    -- "0010010011", -D19.0+, [36,7]
189
                DEC8b10bERR,    -- "0010010100" 
190
                "000010101",    -- "0010010101", -D21.0+, [37,8]
191
                "000010110",    -- "0010010110", -D22.0+, [38,9]
192
                "000010111",    -- "0010010111", +D23.0+, [39,10]
193
                DEC8b10bERR,    -- "0010011000" 
194
                "000011001",    -- "0010011001", -D25.0+, [40,11]
195
                "000011010",    -- "0010011010", -D26.0+, [41,12]
196
                "000011011",    -- "0010011011", +D27.0+, [42,13]
197
                "000011100",    -- "0010011100", -D28.0+, [43,14]
198
                "000011101",    -- "0010011101", +D29.0+, [44,15]
199
                "000011110",    -- "0010011110", +D30.0+, [45,16]
200
                DEC8b10bERR,    -- "0010011111" 
201
                DEC8b10bERR,    -- "0010100000" 
202
                DEC8b10bERR,    -- "0010100001" 
203
                DEC8b10bERR,    -- "0010100010" 
204
                "000000011",    -- "0010100011", -D3.0+, [46,17]
205
                DEC8b10bERR,    -- "0010100100" 
206
                "000000101",    -- "0010100101", -D5.0+, [47,18]
207
                "000000110",    -- "0010100110", -D6.0+, [48,19]
208
                "000001000",    -- "0010100111", +D8.0+, [49,20]
209
                DEC8b10bERR,    -- "0010101000" 
210
                "000001001",    -- "0010101001", -D9.0+, [50,21]
211
                "000001010",    -- "0010101010", -D10.0+, [51,22]
212
                "000000100",    -- "0010101011", +D4.0+, [52,23]
213
                "000001100",    -- "0010101100", -D12.0+, [53,24]
214
                "000000010",    -- "0010101101", +D2.0+, [54,25]
215
                "000000001",    -- "0010101110", +D1.0+, [55,26]
216
                DEC8b10bERR,    -- "0010101111" 
217
                DEC8b10bERR,    -- "0010110000" 
218
                "000010001",    -- "0010110001", -D17.0+, [56,27]
219
                "000010010",    -- "0010110010", -D18.0+, [57,28]
220
                "000011000",    -- "0010110011", +D24.0+, [58,29]
221
                "000010100",    -- "0010110100", -D20.0+, [59,30]
222
                "000011111",    -- "0010110101", +D31.0+, [60,31]
223
                "000010000",    -- "0010110110", +D16.0+, [61,32]
224
                DEC8b10bERR,    -- "0010110111" 
225
                "000000111",    -- "0010111000", -D7.0+, [62,33]
226
                "000000000",    -- "0010111001", +D0.0+, [63,34]
227
                "000001111",    -- "0010111010", +D15.0+, [64,35]
228
                DEC8b10bERR,    -- "0010111011" 
229
                "100011100",    -- "0010111100", +K28.0+, [65,30]
230
                DEC8b10bERR,    -- "0010111101" 
231
                DEC8b10bERR,    -- "0010111110" 
232
                DEC8b10bERR,    -- "0010111111" 
233
                DEC8b10bERR,    -- "0011000000" 
234
                DEC8b10bERR,    -- "0011000001" 
235
                DEC8b10bERR,    -- "0011000010" 
236
                "101111100",    -- "0011000011", -K28.3+, [66,31]
237
                DEC8b10bERR,    -- "0011000100" 
238
                "001101111",    -- "0011000101", -D15.3+, [67,36]
239
                "001100000",    -- "0011000110", -D0.3+, [68,37]
240
                "001100111",    -- "0011000111", +D7.3+, [69,38]
241
                DEC8b10bERR,    -- "0011001000" 
242
                "001110000",    -- "0011001001", -D16.3+, [70,39]
243
                "001111111",    -- "0011001010", -D31.3+, [71,40]
244
                "001101011",    -- "0011001011", +D11.3+, [72,41]
245
                "001111000",    -- "0011001100", -D24.3+, [73,42]
246
                "001101101",    -- "0011001101", +D13.3+, [74,43]
247
                "001101110",    -- "0011001110", +D14.3+, [75,44]
248
                DEC8b10bERR,    -- "0011001111" 
249
                DEC8b10bERR,    -- "0011010000" 
250
                "001100001",    -- "0011010001", -D1.3+, [76,45]
251
                "001100010",    -- "0011010010", -D2.3+, [77,46]
252
                "001110011",    -- "0011010011", +D19.3+, [78,47]
253
                "001100100",    -- "0011010100", -D4.3+, [79,48]
254
                "001110101",    -- "0011010101", +D21.3+, [80,49]
255
                "001110110",    -- "0011010110", +D22.3+, [81,50]
256
                DEC8b10bERR,    -- "0011010111" 
257
                "001101000",    -- "0011011000", -D8.3+, [82,51]
258
                "001111001",    -- "0011011001", +D25.3+, [83,52]
259
                "001111010",    -- "0011011010", +D26.3+, [84,53]
260
                DEC8b10bERR,    -- "0011011011" 
261
                "001111100",    -- "0011011100", +D28.3+, [85,54]
262
                DEC8b10bERR,    -- "0011011101" 
263
                DEC8b10bERR,    -- "0011011110" 
264
                DEC8b10bERR,    -- "0011011111" 
265
                DEC8b10bERR,    -- "0011100000" 
266
                "001111110",    -- "0011100001", -D30.3+, [86,55]
267
                "001111101",    -- "0011100010", -D29.3+, [87,56]
268
                "001100011",    -- "0011100011", +D3.3+, [88,57]
269
                "001111011",    -- "0011100100", -D27.3+, [89,58]
270
                "001100101",    -- "0011100101", +D5.3+, [90,59]
271
                "001100110",    -- "0011100110", +D6.3+, [91,60]
272
                DEC8b10bERR,    -- "0011100111" 
273
                "001110111",    -- "0011101000", -D23.3+, [92,61]
274
                "001101001",    -- "0011101001", +D9.3+, [93,62]
275
                "001101010",    -- "0011101010", +D10.3+, [94,63]
276
                DEC8b10bERR,    -- "0011101011" 
277
                "001101100",    -- "0011101100", +D12.3+, [95,64]
278
                DEC8b10bERR,    -- "0011101101" 
279
                DEC8b10bERR,    -- "0011101110" 
280
                DEC8b10bERR,    -- "0011101111" 
281
                DEC8b10bERR,    -- "0011110000" 
282
                "001110001",    -- "0011110001", +D17.3+, [96,65]
283
                "001110010",    -- "0011110010", +D18.3+, [97,66]
284
                DEC8b10bERR,    -- "0011110011" 
285
                "001110100",    -- "0011110100", +D20.3+, [98,67]
286
                DEC8b10bERR,    -- "0011110101" 
287
                DEC8b10bERR,    -- "0011110110" 
288
                DEC8b10bERR,    -- "0011110111" 
289
                DEC8b10bERR,    -- "0011111000" 
290
                DEC8b10bERR,    -- "0011111001" 
291
                DEC8b10bERR,    -- "0011111010" 
292
                DEC8b10bERR,    -- "0011111011" 
293
                DEC8b10bERR,    -- "0011111100" 
294
                DEC8b10bERR,    -- "0011111101" 
295
                DEC8b10bERR,    -- "0011111110" 
296
                DEC8b10bERR,    -- "0011111111" 
297
                DEC8b10bERR,    -- "0100000000" 
298
                DEC8b10bERR,    -- "0100000001" 
299
                DEC8b10bERR,    -- "0100000010" 
300
                DEC8b10bERR,    -- "0100000011" 
301
                DEC8b10bERR,    -- "0100000100" 
302
                DEC8b10bERR,    -- "0100000101" 
303
                DEC8b10bERR,    -- "0100000110" 
304
                DEC8b10bERR,    -- "0100000111" 
305
                DEC8b10bERR,    -- "0100001000" 
306
                DEC8b10bERR,    -- "0100001001" 
307
                DEC8b10bERR,    -- "0100001010" 
308
                "010001011",    -- "0100001011", -D11.4+, [99,68]
309
                DEC8b10bERR,    -- "0100001100" 
310
                "010001101",    -- "0100001101", -D13.4+, [100,69]
311
                "010001110",    -- "0100001110", -D14.4+, [101,70]
312
                DEC8b10bERR,    -- "0100001111" 
313
                DEC8b10bERR,    -- "0100010000" 
314
                DEC8b10bERR,    -- "0100010001" 
315
                DEC8b10bERR,    -- "0100010010" 
316
                "010010011",    -- "0100010011", -D19.4+, [102,71]
317
                DEC8b10bERR,    -- "0100010100" 
318
                "010010101",    -- "0100010101", -D21.4+, [103,72]
319
                "010010110",    -- "0100010110", -D22.4+, [104,73]
320
                "010010111",    -- "0100010111", +D23.4+, [105,74]
321
                DEC8b10bERR,    -- "0100011000" 
322
                "010011001",    -- "0100011001", -D25.4+, [106,75]
323
                "010011010",    -- "0100011010", -D26.4+, [107,76]
324
                "010011011",    -- "0100011011", +D27.4+, [108,77]
325
                "010011100",    -- "0100011100", -D28.4+, [109,78]
326
                "010011101",    -- "0100011101", +D29.4+, [110,79]
327
                "010011110",    -- "0100011110", +D30.4+, [111,80]
328
                DEC8b10bERR,    -- "0100011111" 
329
                DEC8b10bERR,    -- "0100100000" 
330
                DEC8b10bERR,    -- "0100100001" 
331
                DEC8b10bERR,    -- "0100100010" 
332
                "010000011",    -- "0100100011", -D3.4+, [112,81]
333
                DEC8b10bERR,    -- "0100100100" 
334
                "010000101",    -- "0100100101", -D5.4+, [113,82]
335
                "010000110",    -- "0100100110", -D6.4+, [114,83]
336
                "010001000",    -- "0100100111", +D8.4+, [115,84]
337
                DEC8b10bERR,    -- "0100101000" 
338
                "010001001",    -- "0100101001", -D9.4+, [116,85]
339
                "010001010",    -- "0100101010", -D10.4+, [117,86]
340
                "010000100",    -- "0100101011", +D4.4+, [118,87]
341
                "010001100",    -- "0100101100", -D12.4+, [119,88]
342
                "010000010",    -- "0100101101", +D2.4+, [120,89]
343
                "010000001",    -- "0100101110", +D1.4+, [121,90]
344
                DEC8b10bERR,    -- "0100101111" 
345
                DEC8b10bERR,    -- "0100110000" 
346
                "010010001",    -- "0100110001", -D17.4+, [122,91]
347
                "010010010",    -- "0100110010", -D18.4+, [123,92]
348
                "010011000",    -- "0100110011", +D24.4+, [124,93]
349
                "010010100",    -- "0100110100", -D20.4+, [125,94]
350
                "010011111",    -- "0100110101", +D31.4+, [126,95]
351
                "010010000",    -- "0100110110", +D16.4+, [127,96]
352
                DEC8b10bERR,    -- "0100110111" 
353
                "010000111",    -- "0100111000", -D7.4+, [128,97]
354
                "010000000",    -- "0100111001", +D0.4+, [129,98]
355
                "010001111",    -- "0100111010", +D15.4+, [130,99]
356
                DEC8b10bERR,    -- "0100111011" 
357
                "110011100",    -- "0100111100", +K28.4+, [131,32]
358
                DEC8b10bERR,    -- "0100111101" 
359
                DEC8b10bERR,    -- "0100111110" 
360
                DEC8b10bERR,    -- "0100111111" 
361
                DEC8b10bERR,    -- "0101000000" 
362
                DEC8b10bERR,    -- "0101000001" 
363
                DEC8b10bERR,    -- "0101000010" 
364
                "101011100",    -- "0101000011", -K28.2+, [132,33]
365
                DEC8b10bERR,    -- "0101000100" 
366
                "010101111",    -- "0101000101", -D15.5+, [133,100]
367
                "010100000",    -- "0101000110", -D0.5+, [134,101]
368
                "010100111",    -- "0101000111", +D7.5+, [135,102]
369
                DEC8b10bERR,    -- "0101001000" 
370
                "010110000",    -- "0101001001", -D16.5+, [136,103]
371
                "010111111",    -- "0101001010", -D31.5+, [137,104]
372
                "010101011",    -- "0101001011", +D11.5+, [138,105]
373
                "010111000",    -- "0101001100", -D24.5+, [139,106]
374
                "010101101",    -- "0101001101", +D13.5+, [140,107]
375
                "010101110",    -- "0101001110", +D14.5+, [141,108]
376
                DEC8b10bERR,    -- "0101001111" 
377
                DEC8b10bERR,    -- "0101010000" 
378
                "010100001",    -- "0101010001", -D1.5+, [142,109]
379
                "010100010",    -- "0101010010", -D2.5+, [143,110]
380
                "010110011",    -- "0101010011", +D19.5+, [144,111]
381
                "010100100",    -- "0101010100", -D4.5+, [145,112]
382
                "010110101",    -- "0101010101", +D21.5+, [146,113]
383
                "010110110",    -- "0101010110", +D22.5+, [147,114]
384
                "010110111",    -- "0101010111", +D23.5-, [148,115]
385
                "010101000",    -- "0101011000", -D8.5+, [149,116]
386
                "010111001",    -- "0101011001", +D25.5+, [150,117]
387
                "010111010",    -- "0101011010", +D26.5+, [151,118]
388
                "010111011",    -- "0101011011", +D27.5-, [152,119]
389
                "010111100",    -- "0101011100", +D28.5+, [153,120]
390
                "010111101",    -- "0101011101", +D29.5-, [154,121]
391
                "010111110",    -- "0101011110", +D30.5-, [155,122]
392
                DEC8b10bERR,    -- "0101011111" 
393
                DEC8b10bERR,    -- "0101100000" 
394
                "010111110",    -- "0101100001", -D30.5+, [156,123]
395
                "010111101",    -- "0101100010", -D29.5+, [157,124]
396
                "010100011",    -- "0101100011", +D3.5+, [158,125]
397
                "010111011",    -- "0101100100", -D27.5+, [159,126]
398
                "010100101",    -- "0101100101", +D5.5+, [160,127]
399
                "010100110",    -- "0101100110", +D6.5+, [161,128]
400
                "010101000",    -- "0101100111", +D8.5-, [162,129]
401
                "010110111",    -- "0101101000", -D23.5+, [163,130]
402
                "010101001",    -- "0101101001", +D9.5+, [164,131]
403
                "010101010",    -- "0101101010", +D10.5+, [165,132]
404
                "010100100",    -- "0101101011", +D4.5-, [166,133]
405
                "010101100",    -- "0101101100", +D12.5+, [167,134]
406
                "010100010",    -- "0101101101", +D2.5-, [168,135]
407
                "010100001",    -- "0101101110", +D1.5-, [169,136]
408
                DEC8b10bERR,    -- "0101101111" 
409
                DEC8b10bERR,    -- "0101110000" 
410
                "010110001",    -- "0101110001", +D17.5+, [170,137]
411
                "010110010",    -- "0101110010", +D18.5+, [171,138]
412
                "010111000",    -- "0101110011", +D24.5-, [172,139]
413
                "010110100",    -- "0101110100", +D20.5+, [173,140]
414
                "010111111",    -- "0101110101", +D31.5-, [174,141]
415
                "010110000",    -- "0101110110", +D16.5-, [175,142]
416
                DEC8b10bERR,    -- "0101110111" 
417
                "010100111",    -- "0101111000", -D7.5-, [176,143]
418
                "010100000",    -- "0101111001", +D0.5-, [177,144]
419
                "010101111",    -- "0101111010", +D15.5-, [178,145]
420
                DEC8b10bERR,    -- "0101111011" 
421
                "110111100",    -- "0101111100", +K28.5-, [179,34]
422
                DEC8b10bERR,    -- "0101111101" 
423
                DEC8b10bERR,    -- "0101111110" 
424
                DEC8b10bERR,    -- "0101111111" 
425
                DEC8b10bERR,    -- "0110000000" 
426
                DEC8b10bERR,    -- "0110000001" 
427
                DEC8b10bERR,    -- "0110000010" 
428
                "100111100",    -- "0110000011", -K28.1+, [180,35]
429
                DEC8b10bERR,    -- "0110000100" 
430
                "011001111",    -- "0110000101", -D15.6+, [181,146]
431
                "011000000",    -- "0110000110", -D0.6+, [182,147]
432
                "011000111",    -- "0110000111", +D7.6+, [183,148]
433
                DEC8b10bERR,    -- "0110001000" 
434
                "011010000",    -- "0110001001", -D16.6+, [184,149]
435
                "011011111",    -- "0110001010", -D31.6+, [185,150]
436
                "011001011",    -- "0110001011", +D11.6+, [186,151]
437
                "011011000",    -- "0110001100", -D24.6+, [187,152]
438
                "011001101",    -- "0110001101", +D13.6+, [188,153]
439
                "011001110",    -- "0110001110", +D14.6+, [189,154]
440
                DEC8b10bERR,    -- "0110001111" 
441
                DEC8b10bERR,    -- "0110010000" 
442
                "011000001",    -- "0110010001", -D1.6+, [190,155]
443
                "011000010",    -- "0110010010", -D2.6+, [191,156]
444
                "011010011",    -- "0110010011", +D19.6+, [192,157]
445
                "011000100",    -- "0110010100", -D4.6+, [193,158]
446
                "011010101",    -- "0110010101", +D21.6+, [194,159]
447
                "011010110",    -- "0110010110", +D22.6+, [195,160]
448
                "011010111",    -- "0110010111", +D23.6-, [196,161]
449
                "011001000",    -- "0110011000", -D8.6+, [197,162]
450
                "011011001",    -- "0110011001", +D25.6+, [198,163]
451
                "011011010",    -- "0110011010", +D26.6+, [199,164]
452
                "011011011",    -- "0110011011", +D27.6-, [200,165]
453
                "011011100",    -- "0110011100", +D28.6+, [201,166]
454
                "011011101",    -- "0110011101", +D29.6-, [202,167]
455
                "011011110",    -- "0110011110", +D30.6-, [203,168]
456
                DEC8b10bERR,    -- "0110011111" 
457
                DEC8b10bERR,    -- "0110100000" 
458
                "011011110",    -- "0110100001", -D30.6+, [204,169]
459
                "011011101",    -- "0110100010", -D29.6+, [205,170]
460
                "011000011",    -- "0110100011", +D3.6+, [206,171]
461
                "011011011",    -- "0110100100", -D27.6+, [207,172]
462
                "011000101",    -- "0110100101", +D5.6+, [208,173]
463
                "011000110",    -- "0110100110", +D6.6+, [209,174]
464
                "011001000",    -- "0110100111", +D8.6-, [210,175]
465
                "011010111",    -- "0110101000", -D23.6+, [211,176]
466
                "011001001",    -- "0110101001", +D9.6+, [212,177]
467
                "011001010",    -- "0110101010", +D10.6+, [213,178]
468
                "011000100",    -- "0110101011", +D4.6-, [214,179]
469
                "011001100",    -- "0110101100", +D12.6+, [215,180]
470
                "011000010",    -- "0110101101", +D2.6-, [216,181]
471
                "011000001",    -- "0110101110", +D1.6-, [217,182]
472
                DEC8b10bERR,    -- "0110101111" 
473
                DEC8b10bERR,    -- "0110110000" 
474
                "011010001",    -- "0110110001", +D17.6+, [218,183]
475
                "011010010",    -- "0110110010", +D18.6+, [219,184]
476
                "011011000",    -- "0110110011", +D24.6-, [220,185]
477
                "011010100",    -- "0110110100", +D20.6+, [221,186]
478
                "011011111",    -- "0110110101", +D31.6-, [222,187]
479
                "011010000",    -- "0110110110", +D16.6-, [223,188]
480
                DEC8b10bERR,    -- "0110110111" 
481
                "011000111",    -- "0110111000", -D7.6-, [224,189]
482
                "011000000",    -- "0110111001", +D0.6-, [225,190]
483
                "011001111",    -- "0110111010", +D15.6-, [226,191]
484
                DEC8b10bERR,    -- "0110111011" 
485
                "111011100",    -- "0110111100", +K28.6-, [227,36]
486
                DEC8b10bERR,    -- "0110111101" 
487
                DEC8b10bERR,    -- "0110111110" 
488
                DEC8b10bERR,    -- "0110111111" 
489
                DEC8b10bERR,    -- "0111000000" 
490
                DEC8b10bERR,    -- "0111000001" 
491
                DEC8b10bERR,    -- "0111000010" 
492
                DEC8b10bERR,    -- "0111000011" 
493
                DEC8b10bERR,    -- "0111000100" 
494
                "011101111",    -- "0111000101", -D15.7-, [228,192]
495
                "011100000",    -- "0111000110", -D0.7-, [229,193]
496
                "011100111",    -- "0111000111", +D7.7-, [230,194]
497
                DEC8b10bERR,    -- "0111001000" 
498
                "011110000",    -- "0111001001", -D16.7-, [231,195]
499
                "011111111",    -- "0111001010", -D31.7-, [232,196]
500
                "011101011",    -- "0111001011", +D11.7-, [233,197]
501
                "011111000",    -- "0111001100", -D24.7-, [234,198]
502
                "011101101",    -- "0111001101", +D13.7-, [235,199]
503
                "011101110",    -- "0111001110", +D14.7-, [236,200]
504
                DEC8b10bERR,    -- "0111001111" 
505
                DEC8b10bERR,    -- "0111010000" 
506
                "011100001",    -- "0111010001", -D1.7-, [237,201]
507
                "011100010",    -- "0111010010", -D2.7-, [238,202]
508
                "011110011",    -- "0111010011", +D19.7-, [239,203]
509
                "011100100",    -- "0111010100", -D4.7-, [240,204]
510
                "011110101",    -- "0111010101", +D21.7-, [241,205]
511
                "011110110",    -- "0111010110", +D22.7-, [242,206]
512
                DEC8b10bERR,    -- "0111010111" 
513
                "011101000",    -- "0111011000", -D8.7-, [243,207]
514
                "011111001",    -- "0111011001", +D25.7-, [244,208]
515
                "011111010",    -- "0111011010", +D26.7-, [245,209]
516
                DEC8b10bERR,    -- "0111011011" 
517
                "011111100",    -- "0111011100", +D28.7-, [246,210]
518
                DEC8b10bERR,    -- "0111011101" 
519
                DEC8b10bERR,    -- "0111011110" 
520
                DEC8b10bERR,    -- "0111011111" 
521
                DEC8b10bERR,    -- "0111100000" 
522
                "011111110",    -- "0111100001", -D30.7-, [247,211]
523
                "011111101",    -- "0111100010", -D29.7-, [248,212]
524
                "011100011",    -- "0111100011", +D3.7-, [249,213]
525
                "011111011",    -- "0111100100", -D27.7-, [250,214]
526
                "011100101",    -- "0111100101", +D5.7-, [251,215]
527
                "011100110",    -- "0111100110", +D6.7-, [252,216]
528
                DEC8b10bERR,    -- "0111100111" 
529
                "011110111",    -- "0111101000", -D23.7-, [253,217]
530
                "011101001",    -- "0111101001", +D9.7-, [254,218]
531
                "011101010",    -- "0111101010", +D10.7-, [255,219]
532
                DEC8b10bERR,    -- "0111101011" 
533
                "011101100",    -- "0111101100", +D12.7-, [256,220]
534
                DEC8b10bERR,    -- "0111101101" 
535
                DEC8b10bERR,    -- "0111101110" 
536
                DEC8b10bERR,    -- "0111101111" 
537
                DEC8b10bERR,    -- "0111110000" 
538
                DEC8b10bERR,    -- "0111110001" 
539
                DEC8b10bERR,    -- "0111110010" 
540
                DEC8b10bERR,    -- "0111110011" 
541
                DEC8b10bERR,    -- "0111110100" 
542
                DEC8b10bERR,    -- "0111110101" 
543
                DEC8b10bERR,    -- "0111110110" 
544
                DEC8b10bERR,    -- "0111110111" 
545
                DEC8b10bERR,    -- "0111111000" 
546
                DEC8b10bERR,    -- "0111111001" 
547
                DEC8b10bERR,    -- "0111111010" 
548
                DEC8b10bERR,    -- "0111111011" 
549
                DEC8b10bERR,    -- "0111111100" 
550
                DEC8b10bERR,    -- "0111111101" 
551
                DEC8b10bERR,    -- "0111111110" 
552
                DEC8b10bERR,    -- "0111111111" 
553
                DEC8b10bERR,    -- "1000000000" 
554
                DEC8b10bERR,    -- "1000000001" 
555
                DEC8b10bERR,    -- "1000000010" 
556
                DEC8b10bERR,    -- "1000000011" 
557
                DEC8b10bERR,    -- "1000000100" 
558
                DEC8b10bERR,    -- "1000000101" 
559
                DEC8b10bERR,    -- "1000000110" 
560
                DEC8b10bERR,    -- "1000000111" 
561
                DEC8b10bERR,    -- "1000001000" 
562
                DEC8b10bERR,    -- "1000001001" 
563
                DEC8b10bERR,    -- "1000001010" 
564
                DEC8b10bERR,    -- "1000001011" 
565
                DEC8b10bERR,    -- "1000001100" 
566
                DEC8b10bERR,    -- "1000001101" 
567
                DEC8b10bERR,    -- "1000001110" 
568
                DEC8b10bERR,    -- "1000001111" 
569
                DEC8b10bERR,    -- "1000010000" 
570
                DEC8b10bERR,    -- "1000010001" 
571
                DEC8b10bERR,    -- "1000010010" 
572
                "011110011",    -- "1000010011", -D19.7+, [257,221]
573
                DEC8b10bERR,    -- "1000010100" 
574
                "011110101",    -- "1000010101", -D21.7+, [258,222]
575
                "011110110",    -- "1000010110", -D22.7+, [259,223]
576
                "011110111",    -- "1000010111", +D23.7+, [260,224]
577
                DEC8b10bERR,    -- "1000011000" 
578
                "011111001",    -- "1000011001", -D25.7+, [261,225]
579
                "011111010",    -- "1000011010", -D26.7+, [262,226]
580
                "011111011",    -- "1000011011", +D27.7+, [263,227]
581
                "011111100",    -- "1000011100", -D28.7+, [264,228]
582
                "011111101",    -- "1000011101", +D29.7+, [265,229]
583
                "011111110",    -- "1000011110", +D30.7+, [266,230]
584
                DEC8b10bERR,    -- "1000011111" 
585
                DEC8b10bERR,    -- "1000100000" 
586
                DEC8b10bERR,    -- "1000100001" 
587
                DEC8b10bERR,    -- "1000100010" 
588
                "011100011",    -- "1000100011", -D3.7+, [267,231]
589
                DEC8b10bERR,    -- "1000100100" 
590
                "011100101",    -- "1000100101", -D5.7+, [268,232]
591
                "011100110",    -- "1000100110", -D6.7+, [269,233]
592
                "011101000",    -- "1000100111", +D8.7+, [270,234]
593
                DEC8b10bERR,    -- "1000101000" 
594
                "011101001",    -- "1000101001", -D9.7+, [271,235]
595
                "011101010",    -- "1000101010", -D10.7+, [272,236]
596
                "011100100",    -- "1000101011", +D4.7+, [273,237]
597
                "011101100",    -- "1000101100", -D12.7+, [274,238]
598
                "011100010",    -- "1000101101", +D2.7+, [275,239]
599
                "011100001",    -- "1000101110", +D1.7+, [276,240]
600
                DEC8b10bERR,    -- "1000101111" 
601
                DEC8b10bERR,    -- "1000110000" 
602
                "011110001",    -- "1000110001", -D17.7+, [277,241]
603
                "011110010",    -- "1000110010", -D18.7+, [278,242]
604
                "011111000",    -- "1000110011", +D24.7+, [279,243]
605
                "011110100",    -- "1000110100", -D20.7+, [280,244]
606
                "011111111",    -- "1000110101", +D31.7+, [281,245]
607
                "011110000",    -- "1000110110", +D16.7+, [282,246]
608
                DEC8b10bERR,    -- "1000110111" 
609
                "011100111",    -- "1000111000", -D7.7+, [283,247]
610
                "011100000",    -- "1000111001", +D0.7+, [284,248]
611
                "011101111",    -- "1000111010", +D15.7+, [285,249]
612
                DEC8b10bERR,    -- "1000111011" 
613
                DEC8b10bERR,    -- "1000111100" 
614
                DEC8b10bERR,    -- "1000111101" 
615
                DEC8b10bERR,    -- "1000111110" 
616
                DEC8b10bERR,    -- "1000111111" 
617
                DEC8b10bERR,    -- "1001000000" 
618
                DEC8b10bERR,    -- "1001000001" 
619
                DEC8b10bERR,    -- "1001000010" 
620
                "111011100",    -- "1001000011", -K28.6+, [286,37]
621
                DEC8b10bERR,    -- "1001000100" 
622
                "000101111",    -- "1001000101", -D15.1+, [287,250]
623
                "000100000",    -- "1001000110", -D0.1+, [288,251]
624
                "000100111",    -- "1001000111", +D7.1+, [289,252]
625
                DEC8b10bERR,    -- "1001001000" 
626
                "000110000",    -- "1001001001", -D16.1+, [290,253]
627
                "000111111",    -- "1001001010", -D31.1+, [291,254]
628
                "000101011",    -- "1001001011", +D11.1+, [292,255]
629
                "000111000",    -- "1001001100", -D24.1+, [293,256]
630
                "000101101",    -- "1001001101", +D13.1+, [294,257]
631
                "000101110",    -- "1001001110", +D14.1+, [295,258]
632
                DEC8b10bERR,    -- "1001001111" 
633
                DEC8b10bERR,    -- "1001010000" 
634
                "000100001",    -- "1001010001", -D1.1+, [296,259]
635
                "000100010",    -- "1001010010", -D2.1+, [297,260]
636
                "000110011",    -- "1001010011", +D19.1+, [298,261]
637
                "000100100",    -- "1001010100", -D4.1+, [299,262]
638
                "000110101",    -- "1001010101", +D21.1+, [300,263]
639
                "000110110",    -- "1001010110", +D22.1+, [301,264]
640
                "000110111",    -- "1001010111", +D23.1-, [302,265]
641
                "000101000",    -- "1001011000", -D8.1+, [303,266]
642
                "000111001",    -- "1001011001", +D25.1+, [304,267]
643
                "000111010",    -- "1001011010", +D26.1+, [305,268]
644
                "000111011",    -- "1001011011", +D27.1-, [306,269]
645
                "000111100",    -- "1001011100", +D28.1+, [307,270]
646
                "000111101",    -- "1001011101", +D29.1-, [308,271]
647
                "000111110",    -- "1001011110", +D30.1-, [309,272]
648
                DEC8b10bERR,    -- "1001011111" 
649
                DEC8b10bERR,    -- "1001100000" 
650
                "000111110",    -- "1001100001", -D30.1+, [310,273]
651
                "000111101",    -- "1001100010", -D29.1+, [311,274]
652
                "000100011",    -- "1001100011", +D3.1+, [312,275]
653
                "000111011",    -- "1001100100", -D27.1+, [313,276]
654
                "000100101",    -- "1001100101", +D5.1+, [314,277]
655
                "000100110",    -- "1001100110", +D6.1+, [315,278]
656
                "000101000",    -- "1001100111", +D8.1-, [316,279]
657
                "000110111",    -- "1001101000", -D23.1+, [317,280]
658
                "000101001",    -- "1001101001", +D9.1+, [318,281]
659
                "000101010",    -- "1001101010", +D10.1+, [319,282]
660
                "000100100",    -- "1001101011", +D4.1-, [320,283]
661
                "000101100",    -- "1001101100", +D12.1+, [321,284]
662
                "000100010",    -- "1001101101", +D2.1-, [322,285]
663
                "000100001",    -- "1001101110", +D1.1-, [323,286]
664
                DEC8b10bERR,    -- "1001101111" 
665
                DEC8b10bERR,    -- "1001110000" 
666
                "000110001",    -- "1001110001", +D17.1+, [324,287]
667
                "000110010",    -- "1001110010", +D18.1+, [325,288]
668
                "000111000",    -- "1001110011", +D24.1-, [326,289]
669
                "000110100",    -- "1001110100", +D20.1+, [327,290]
670
                "000111111",    -- "1001110101", +D31.1-, [328,291]
671
                "000110000",    -- "1001110110", +D16.1-, [329,292]
672
                DEC8b10bERR,    -- "1001110111" 
673
                "000100111",    -- "1001111000", -D7.1-, [330,293]
674
                "000100000",    -- "1001111001", +D0.1-, [331,294]
675
                "000101111",    -- "1001111010", +D15.1-, [332,295]
676
                DEC8b10bERR,    -- "1001111011" 
677
                "100111100",    -- "1001111100", +K28.1-, [333,38]
678
                DEC8b10bERR,    -- "1001111101" 
679
                DEC8b10bERR,    -- "1001111110" 
680
                DEC8b10bERR,    -- "1001111111" 
681
                DEC8b10bERR,    -- "1010000000" 
682
                DEC8b10bERR,    -- "1010000001" 
683
                DEC8b10bERR,    -- "1010000010" 
684
                "110111100",    -- "1010000011", -K28.5+, [334,39]
685
                DEC8b10bERR,    -- "1010000100" 
686
                "001001111",    -- "1010000101", -D15.2+, [335,296]
687
                "001000000",    -- "1010000110", -D0.2+, [336,297]
688
                "001000111",    -- "1010000111", +D7.2+, [337,298]
689
                DEC8b10bERR,    -- "1010001000" 
690
                "001010000",    -- "1010001001", -D16.2+, [338,299]
691
                "001011111",    -- "1010001010", -D31.2+, [339,300]
692
                "001001011",    -- "1010001011", +D11.2+, [340,301]
693
                "001011000",    -- "1010001100", -D24.2+, [341,302]
694
                "001001101",    -- "1010001101", +D13.2+, [342,303]
695
                "001001110",    -- "1010001110", +D14.2+, [343,304]
696
                DEC8b10bERR,    -- "1010001111" 
697
                DEC8b10bERR,    -- "1010010000" 
698
                "001000001",    -- "1010010001", -D1.2+, [344,305]
699
                "001000010",    -- "1010010010", -D2.2+, [345,306]
700
                "001010011",    -- "1010010011", +D19.2+, [346,307]
701
                "001000100",    -- "1010010100", -D4.2+, [347,308]
702
                "001010101",    -- "1010010101", +D21.2+, [348,309]
703
                "001010110",    -- "1010010110", +D22.2+, [349,310]
704
                "001010111",    -- "1010010111", +D23.2-, [350,311]
705
                "001001000",    -- "1010011000", -D8.2+, [351,312]
706
                "001011001",    -- "1010011001", +D25.2+, [352,313]
707
                "001011010",    -- "1010011010", +D26.2+, [353,314]
708
                "001011011",    -- "1010011011", +D27.2-, [354,315]
709
                "001011100",    -- "1010011100", +D28.2+, [355,316]
710
                "001011101",    -- "1010011101", +D29.2-, [356,317]
711
                "001011110",    -- "1010011110", +D30.2-, [357,318]
712
                DEC8b10bERR,    -- "1010011111" 
713
                DEC8b10bERR,    -- "1010100000" 
714
                "001011110",    -- "1010100001", -D30.2+, [358,319]
715
                "001011101",    -- "1010100010", -D29.2+, [359,320]
716
                "001000011",    -- "1010100011", +D3.2+, [360,321]
717
                "001011011",    -- "1010100100", -D27.2+, [361,322]
718
                "001000101",    -- "1010100101", +D5.2+, [362,323]
719
                "001000110",    -- "1010100110", +D6.2+, [363,324]
720
                "001001000",    -- "1010100111", +D8.2-, [364,325]
721
                "001010111",    -- "1010101000", -D23.2+, [365,326]
722
                "001001001",    -- "1010101001", +D9.2+, [366,327]
723
                "001001010",    -- "1010101010", +D10.2+, [367,328]
724
                "001000100",    -- "1010101011", +D4.2-, [368,329]
725
                "001001100",    -- "1010101100", +D12.2+, [369,330]
726
                "001000010",    -- "1010101101", +D2.2-, [370,331]
727
                "001000001",    -- "1010101110", +D1.2-, [371,332]
728
                DEC8b10bERR,    -- "1010101111" 
729
                DEC8b10bERR,    -- "1010110000" 
730
                "001010001",    -- "1010110001", +D17.2+, [372,333]
731
                "001010010",    -- "1010110010", +D18.2+, [373,334]
732
                "001011000",    -- "1010110011", +D24.2-, [374,335]
733
                "001010100",    -- "1010110100", +D20.2+, [375,336]
734
                "001011111",    -- "1010110101", +D31.2-, [376,337]
735
                "001010000",    -- "1010110110", +D16.2-, [377,338]
736
                DEC8b10bERR,    -- "1010110111" 
737
                "001000111",    -- "1010111000", -D7.2-, [378,339]
738
                "001000000",    -- "1010111001", +D0.2-, [379,340]
739
                "001001111",    -- "1010111010", +D15.2-, [380,341]
740
                DEC8b10bERR,    -- "1010111011" 
741
                "101011100",    -- "1010111100", +K28.2-, [381,40]
742
                DEC8b10bERR,    -- "1010111101" 
743
                DEC8b10bERR,    -- "1010111110" 
744
                DEC8b10bERR,    -- "1010111111" 
745
                DEC8b10bERR,    -- "1011000000" 
746
                DEC8b10bERR,    -- "1011000001" 
747
                DEC8b10bERR,    -- "1011000010" 
748
                "110011100",    -- "1011000011", -K28.4-, [382,41]
749
                DEC8b10bERR,    -- "1011000100" 
750
                "010001111",    -- "1011000101", -D15.4-, [383,342]
751
                "010000000",    -- "1011000110", -D0.4-, [384,343]
752
                "010000111",    -- "1011000111", +D7.4-, [385,344]
753
                DEC8b10bERR,    -- "1011001000" 
754
                "010010000",    -- "1011001001", -D16.4-, [386,345]
755
                "010011111",    -- "1011001010", -D31.4-, [387,346]
756
                "010001011",    -- "1011001011", +D11.4-, [388,347]
757
                "010011000",    -- "1011001100", -D24.4-, [389,348]
758
                "010001101",    -- "1011001101", +D13.4-, [390,349]
759
                "010001110",    -- "1011001110", +D14.4-, [391,350]
760
                DEC8b10bERR,    -- "1011001111" 
761
                DEC8b10bERR,    -- "1011010000" 
762
                "010000001",    -- "1011010001", -D1.4-, [392,351]
763
                "010000010",    -- "1011010010", -D2.4-, [393,352]
764
                "010010011",    -- "1011010011", +D19.4-, [394,353]
765
                "010000100",    -- "1011010100", -D4.4-, [395,354]
766
                "010010101",    -- "1011010101", +D21.4-, [396,355]
767
                "010010110",    -- "1011010110", +D22.4-, [397,356]
768
                DEC8b10bERR,    -- "1011010111" 
769
                "010001000",    -- "1011011000", -D8.4-, [398,357]
770
                "010011001",    -- "1011011001", +D25.4-, [399,358]
771
                "010011010",    -- "1011011010", +D26.4-, [400,359]
772
                DEC8b10bERR,    -- "1011011011" 
773
                "010011100",    -- "1011011100", +D28.4-, [401,360]
774
                DEC8b10bERR,    -- "1011011101" 
775
                DEC8b10bERR,    -- "1011011110" 
776
                DEC8b10bERR,    -- "1011011111" 
777
                DEC8b10bERR,    -- "1011100000" 
778
                "010011110",    -- "1011100001", -D30.4-, [402,361]
779
                "010011101",    -- "1011100010", -D29.4-, [403,362]
780
                "010000011",    -- "1011100011", +D3.4-, [404,363]
781
                "010011011",    -- "1011100100", -D27.4-, [405,364]
782
                "010000101",    -- "1011100101", +D5.4-, [406,365]
783
                "010000110",    -- "1011100110", +D6.4-, [407,366]
784
                DEC8b10bERR,    -- "1011100111" 
785
                "010010111",    -- "1011101000", -D23.4-, [408,367]
786
                "010001001",    -- "1011101001", +D9.4-, [409,368]
787
                "010001010",    -- "1011101010", +D10.4-, [410,369]
788
                DEC8b10bERR,    -- "1011101011" 
789
                "010001100",    -- "1011101100", +D12.4-, [411,370]
790
                DEC8b10bERR,    -- "1011101101" 
791
                DEC8b10bERR,    -- "1011101110" 
792
                DEC8b10bERR,    -- "1011101111" 
793
                DEC8b10bERR,    -- "1011110000" 
794
                "010010001",    -- "1011110001", +D17.4-, [412,371]
795
                "010010010",    -- "1011110010", +D18.4-, [413,372]
796
                DEC8b10bERR,    -- "1011110011" 
797
                "010010100",    -- "1011110100", +D20.4-, [414,373]
798
                DEC8b10bERR,    -- "1011110101" 
799
                DEC8b10bERR,    -- "1011110110" 
800
                DEC8b10bERR,    -- "1011110111" 
801
                DEC8b10bERR,    -- "1011111000" 
802
                DEC8b10bERR,    -- "1011111001" 
803
                DEC8b10bERR,    -- "1011111010" 
804
                DEC8b10bERR,    -- "1011111011" 
805
                DEC8b10bERR,    -- "1011111100" 
806
                DEC8b10bERR,    -- "1011111101" 
807
                DEC8b10bERR,    -- "1011111110" 
808
                DEC8b10bERR,    -- "1011111111" 
809
                DEC8b10bERR,    -- "1100000000" 
810
                DEC8b10bERR,    -- "1100000001" 
811
                DEC8b10bERR,    -- "1100000010" 
812
                DEC8b10bERR,    -- "1100000011" 
813
                DEC8b10bERR,    -- "1100000100" 
814
                DEC8b10bERR,    -- "1100000101" 
815
                DEC8b10bERR,    -- "1100000110" 
816
                DEC8b10bERR,    -- "1100000111" 
817
                DEC8b10bERR,    -- "1100001000" 
818
                DEC8b10bERR,    -- "1100001001" 
819
                DEC8b10bERR,    -- "1100001010" 
820
                "001101011",    -- "1100001011", -D11.3-, [415,374]
821
                DEC8b10bERR,    -- "1100001100" 
822
                "001101101",    -- "1100001101", -D13.3-, [416,375]
823
                "001101110",    -- "1100001110", -D14.3-, [417,376]
824
                DEC8b10bERR,    -- "1100001111" 
825
                DEC8b10bERR,    -- "1100010000" 
826
                DEC8b10bERR,    -- "1100010001" 
827
                DEC8b10bERR,    -- "1100010010" 
828
                "001110011",    -- "1100010011", -D19.3-, [418,377]
829
                DEC8b10bERR,    -- "1100010100" 
830
                "001110101",    -- "1100010101", -D21.3-, [419,378]
831
                "001110110",    -- "1100010110", -D22.3-, [420,379]
832
                "001110111",    -- "1100010111", +D23.3-, [421,380]
833
                DEC8b10bERR,    -- "1100011000" 
834
                "001111001",    -- "1100011001", -D25.3-, [422,381]
835
                "001111010",    -- "1100011010", -D26.3-, [423,382]
836
                "001111011",    -- "1100011011", +D27.3-, [424,383]
837
                "001111100",    -- "1100011100", -D28.3-, [425,384]
838
                "001111101",    -- "1100011101", +D29.3-, [426,385]
839
                "001111110",    -- "1100011110", +D30.3-, [427,386]
840
                DEC8b10bERR,    -- "1100011111" 
841
                DEC8b10bERR,    -- "1100100000" 
842
                DEC8b10bERR,    -- "1100100001" 
843
                DEC8b10bERR,    -- "1100100010" 
844
                "001100011",    -- "1100100011", -D3.3-, [428,387]
845
                DEC8b10bERR,    -- "1100100100" 
846
                "001100101",    -- "1100100101", -D5.3-, [429,388]
847
                "001100110",    -- "1100100110", -D6.3-, [430,389]
848
                "001101000",    -- "1100100111", +D8.3-, [431,390]
849
                DEC8b10bERR,    -- "1100101000" 
850
                "001101001",    -- "1100101001", -D9.3-, [432,391]
851
                "001101010",    -- "1100101010", -D10.3-, [433,392]
852
                "001100100",    -- "1100101011", +D4.3-, [434,393]
853
                "001101100",    -- "1100101100", -D12.3-, [435,394]
854
                "001100010",    -- "1100101101", +D2.3-, [436,395]
855
                "001100001",    -- "1100101110", +D1.3-, [437,396]
856
                DEC8b10bERR,    -- "1100101111" 
857
                DEC8b10bERR,    -- "1100110000" 
858
                "001110001",    -- "1100110001", -D17.3-, [438,397]
859
                "001110010",    -- "1100110010", -D18.3-, [439,398]
860
                "001111000",    -- "1100110011", +D24.3-, [440,399]
861
                "001110100",    -- "1100110100", -D20.3-, [441,400]
862
                "001111111",    -- "1100110101", +D31.3-, [442,401]
863
                "001110000",    -- "1100110110", +D16.3-, [443,402]
864
                DEC8b10bERR,    -- "1100110111" 
865
                "001100111",    -- "1100111000", -D7.3-, [444,403]
866
                "001100000",    -- "1100111001", +D0.3-, [445,404]
867
                "001101111",    -- "1100111010", +D15.3-, [446,405]
868
                DEC8b10bERR,    -- "1100111011" 
869
                "101111100",    -- "1100111100", +K28.3-, [447,42]
870
                DEC8b10bERR,    -- "1100111101" 
871
                DEC8b10bERR,    -- "1100111110" 
872
                DEC8b10bERR,    -- "1100111111" 
873
                DEC8b10bERR,    -- "1101000000" 
874
                DEC8b10bERR,    -- "1101000001" 
875
                DEC8b10bERR,    -- "1101000010" 
876
                "100011100",    -- "1101000011", -K28.0-, [448,43]
877
                DEC8b10bERR,    -- "1101000100" 
878
                "000001111",    -- "1101000101", -D15.0-, [449,406]
879
                "000000000",    -- "1101000110", -D0.0-, [450,407]
880
                "000000111",    -- "1101000111", +D7.0-, [451,408]
881
                DEC8b10bERR,    -- "1101001000" 
882
                "000010000",    -- "1101001001", -D16.0-, [452,409]
883
                "000011111",    -- "1101001010", -D31.0-, [453,410]
884
                "000001011",    -- "1101001011", +D11.0-, [454,411]
885
                "000011000",    -- "1101001100", -D24.0-, [455,412]
886
                "000001101",    -- "1101001101", +D13.0-, [456,413]
887
                "000001110",    -- "1101001110", +D14.0-, [457,414]
888
                DEC8b10bERR,    -- "1101001111" 
889
                DEC8b10bERR,    -- "1101010000" 
890
                "000000001",    -- "1101010001", -D1.0-, [458,415]
891
                "000000010",    -- "1101010010", -D2.0-, [459,416]
892
                "000010011",    -- "1101010011", +D19.0-, [460,417]
893
                "000000100",    -- "1101010100", -D4.0-, [461,418]
894
                "000010101",    -- "1101010101", +D21.0-, [462,419]
895
                "000010110",    -- "1101010110", +D22.0-, [463,420]
896
                DEC8b10bERR,    -- "1101010111" 
897
                "000001000",    -- "1101011000", -D8.0-, [464,421]
898
                "000011001",    -- "1101011001", +D25.0-, [465,422]
899
                "000011010",    -- "1101011010", +D26.0-, [466,423]
900
                DEC8b10bERR,    -- "1101011011" 
901
                "000011100",    -- "1101011100", +D28.0-, [467,424]
902
                DEC8b10bERR,    -- "1101011101" 
903
                DEC8b10bERR,    -- "1101011110" 
904
                DEC8b10bERR,    -- "1101011111" 
905
                DEC8b10bERR,    -- "1101100000" 
906
                "000011110",    -- "1101100001", -D30.0-, [468,425]
907
                "000011101",    -- "1101100010", -D29.0-, [469,426]
908
                "000000011",    -- "1101100011", +D3.0-, [470,427]
909
                "000011011",    -- "1101100100", -D27.0-, [471,428]
910
                "000000101",    -- "1101100101", +D5.0-, [472,429]
911
                "000000110",    -- "1101100110", +D6.0-, [473,430]
912
                DEC8b10bERR,    -- "1101100111" 
913
                "000010111",    -- "1101101000", -D23.0-, [474,431]
914
                "000001001",    -- "1101101001", +D9.0-, [475,432]
915
                "000001010",    -- "1101101010", +D10.0-, [476,433]
916
                DEC8b10bERR,    -- "1101101011" 
917
                "000001100",    -- "1101101100", +D12.0-, [477,434]
918
                DEC8b10bERR,    -- "1101101101" 
919
                DEC8b10bERR,    -- "1101101110" 
920
                DEC8b10bERR,    -- "1101101111" 
921
                DEC8b10bERR,    -- "1101110000" 
922
                "000010001",    -- "1101110001", +D17.0-, [478,435]
923
                "000010010",    -- "1101110010", +D18.0-, [479,436]
924
                DEC8b10bERR,    -- "1101110011" 
925
                "000010100",    -- "1101110100", +D20.0-, [480,437]
926
                DEC8b10bERR,    -- "1101110101" 
927
                DEC8b10bERR,    -- "1101110110" 
928
                DEC8b10bERR,    -- "1101110111" 
929
                DEC8b10bERR,    -- "1101111000" 
930
                DEC8b10bERR,    -- "1101111001" 
931
                DEC8b10bERR,    -- "1101111010" 
932
                DEC8b10bERR,    -- "1101111011" 
933
                DEC8b10bERR,    -- "1101111100" 
934
                DEC8b10bERR,    -- "1101111101" 
935
                DEC8b10bERR,    -- "1101111110" 
936
                DEC8b10bERR,    -- "1101111111" 
937
                DEC8b10bERR,    -- "1110000000" 
938
                DEC8b10bERR,    -- "1110000001" 
939
                DEC8b10bERR,    -- "1110000010" 
940
                "111111100",    -- "1110000011", -K28.7-, [481,44]
941
                DEC8b10bERR,    -- "1110000100" 
942
                "111101111",    -- "1110000101", -K15.7-, [482,45]
943
                "111100000",    -- "1110000110", -K0.7-, [483,46]
944
                "111100111",    -- "1110000111", +K7.7-, [484,47]
945
                DEC8b10bERR,    -- "1110001000" 
946
                "111110000",    -- "1110001001", -K16.7-, [485,48]
947
                "111111111",    -- "1110001010", -K31.7-, [486,49]
948
                "111101011",    -- "1110001011", +K11.7-, [487,50]
949
                "111111000",    -- "1110001100", -K24.7-, [488,51]
950
                "111101101",    -- "1110001101", +K13.7-, [489,52]
951
                "111101110",    -- "1110001110", +K14.7-, [490,53]
952
                DEC8b10bERR,    -- "1110001111" 
953
                DEC8b10bERR,    -- "1110010000" 
954
                "111100001",    -- "1110010001", -K1.7-, [491,54]
955
                "111100010",    -- "1110010010", -K2.7-, [492,55]
956
                "111110011",    -- "1110010011", +K19.7-, [493,56]
957
                "111100100",    -- "1110010100", -K4.7-, [494,57]
958
                "111110101",    -- "1110010101", +K21.7-, [495,58]
959
                "111110110",    -- "1110010110", +K22.7-, [496,59]
960
                DEC8b10bERR,    -- "1110010111" 
961
                "111101000",    -- "1110011000", -K8.7-, [497,60]
962
                "111111001",    -- "1110011001", +K25.7-, [498,61]
963
                "111111010",    -- "1110011010", +K26.7-, [499,62]
964
                DEC8b10bERR,    -- "1110011011" 
965
                DEC8b10bERR,    -- "1110011100" 
966
                DEC8b10bERR,    -- "1110011101" 
967
                DEC8b10bERR,    -- "1110011110" 
968
                DEC8b10bERR,    -- "1110011111" 
969
                DEC8b10bERR,    -- "1110100000" 
970
                "111111110",    -- "1110100001", -K30.7-, [500,63]
971
                "111111101",    -- "1110100010", -K29.7-, [501,64]
972
                "111100011",    -- "1110100011", +K3.7-, [502,65]
973
                "111111011",    -- "1110100100", -K27.7-, [503,66]
974
                "111100101",    -- "1110100101", +K5.7-, [504,67]
975
                "111100110",    -- "1110100110", +K6.7-, [505,68]
976
                DEC8b10bERR,    -- "1110100111" 
977
                "111110111",    -- "1110101000", -K23.7-, [506,69]
978
                "111101001",    -- "1110101001", +K9.7-, [507,70]
979
                "111101010",    -- "1110101010", +K10.7-, [508,71]
980
                DEC8b10bERR,    -- "1110101011" 
981
                "111101100",    -- "1110101100", +K12.7-, [509,72]
982
                DEC8b10bERR,    -- "1110101101" 
983
                DEC8b10bERR,    -- "1110101110" 
984
                DEC8b10bERR,    -- "1110101111" 
985
                DEC8b10bERR,    -- "1110110000" 
986
                "011110001",    -- "1110110001", +D17.7-, [510,438]
987
                "011110010",    -- "1110110010", +D18.7-, [511,439]
988
                DEC8b10bERR,    -- "1110110011" 
989
                "011110100",    -- "1110110100", +D20.7-, [512,440]
990
                DEC8b10bERR,    -- "1110110101" 
991
                DEC8b10bERR,    -- "1110110110" 
992
                DEC8b10bERR,    -- "1110110111" 
993
                DEC8b10bERR,    -- "1110111000" 
994
                DEC8b10bERR,    -- "1110111001" 
995
                DEC8b10bERR,    -- "1110111010" 
996
                DEC8b10bERR,    -- "1110111011" 
997
                DEC8b10bERR,    -- "1110111100" 
998
                DEC8b10bERR,    -- "1110111101" 
999
                DEC8b10bERR,    -- "1110111110" 
1000
                DEC8b10bERR,    -- "1110111111" 
1001
                DEC8b10bERR,    -- "1111000000" 
1002
                DEC8b10bERR,    -- "1111000001" 
1003
                DEC8b10bERR,    -- "1111000010" 
1004
                DEC8b10bERR,    -- "1111000011" 
1005
                DEC8b10bERR,    -- "1111000100" 
1006
                DEC8b10bERR,    -- "1111000101" 
1007
                DEC8b10bERR,    -- "1111000110" 
1008
                DEC8b10bERR,    -- "1111000111" 
1009
                DEC8b10bERR,    -- "1111001000" 
1010
                DEC8b10bERR,    -- "1111001001" 
1011
                DEC8b10bERR,    -- "1111001010" 
1012
                DEC8b10bERR,    -- "1111001011" 
1013
                DEC8b10bERR,    -- "1111001100" 
1014
                DEC8b10bERR,    -- "1111001101" 
1015
                DEC8b10bERR,    -- "1111001110" 
1016
                DEC8b10bERR,    -- "1111001111" 
1017
                DEC8b10bERR,    -- "1111010000" 
1018
                DEC8b10bERR,    -- "1111010001" 
1019
                DEC8b10bERR,    -- "1111010010" 
1020
                DEC8b10bERR,    -- "1111010011" 
1021
                DEC8b10bERR,    -- "1111010100" 
1022
                DEC8b10bERR,    -- "1111010101" 
1023
                DEC8b10bERR,    -- "1111010110" 
1024
                DEC8b10bERR,    -- "1111010111" 
1025
                DEC8b10bERR,    -- "1111011000" 
1026
                DEC8b10bERR,    -- "1111011001" 
1027
                DEC8b10bERR,    -- "1111011010" 
1028
                DEC8b10bERR,    -- "1111011011" 
1029
                DEC8b10bERR,    -- "1111011100" 
1030
                DEC8b10bERR,    -- "1111011101" 
1031
                DEC8b10bERR,    -- "1111011110" 
1032
                DEC8b10bERR,    -- "1111011111" 
1033
                DEC8b10bERR,    -- "1111100000" 
1034
                DEC8b10bERR,    -- "1111100001" 
1035
                DEC8b10bERR,    -- "1111100010" 
1036
                DEC8b10bERR,    -- "1111100011" 
1037
                DEC8b10bERR,    -- "1111100100" 
1038
                DEC8b10bERR,    -- "1111100101" 
1039
                DEC8b10bERR,    -- "1111100110" 
1040
                DEC8b10bERR,    -- "1111100111" 
1041
                DEC8b10bERR,    -- "1111101000" 
1042
                DEC8b10bERR,    -- "1111101001" 
1043
                DEC8b10bERR,    -- "1111101010" 
1044
                DEC8b10bERR,    -- "1111101011" 
1045
                DEC8b10bERR,    -- "1111101100" 
1046
                DEC8b10bERR,    -- "1111101101" 
1047
                DEC8b10bERR,    -- "1111101110" 
1048
                DEC8b10bERR,    -- "1111101111" 
1049
                DEC8b10bERR,    -- "1111110000" 
1050
                DEC8b10bERR,    -- "1111110001" 
1051
                DEC8b10bERR,    -- "1111110010" 
1052
                DEC8b10bERR,    -- "1111110011" 
1053
                DEC8b10bERR,    -- "1111110100" 
1054
                DEC8b10bERR,    -- "1111110101" 
1055
                DEC8b10bERR,    -- "1111110110" 
1056
                DEC8b10bERR,    -- "1111110111" 
1057
                DEC8b10bERR,    -- "1111111000" 
1058
                DEC8b10bERR,    -- "1111111001" 
1059
                DEC8b10bERR,    -- "1111111010" 
1060
                DEC8b10bERR,    -- "1111111011" 
1061
                DEC8b10bERR,    -- "1111111100" 
1062
                DEC8b10bERR,    -- "1111111101" 
1063
                DEC8b10bERR,    -- "1111111110" 
1064
                DEC8b10bERR     -- "1111111111" 
1065
        );
1066
 
1067
 
1068
signal DECODE : std_logic_vector (8 downto 0);
1069
 
1070
begin
1071
 
1072
        CTRL_OUT <= DECODE(8);
1073
        DATA_OUT <= DECODE(7 downto 0);
1074
 
1075
        process (CLK_IN)
1076
        begin
1077
                if(CLK_IN = '1' and CLK_IN'event)
1078
                then
1079
                        DECODE <= TBL_DEC8B10B(conv_integer(ENCODE_IN));
1080
                end if;
1081
        end process;
1082
 
1083
end RTL;
1084
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.