OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [branches/] [clos_opt/] [common/] [src/] [ppc.v] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 wsong0210
/*
2
 Asynchronous SDM NoC
3
 (C)2011 Wei Song
4
 Advanced Processor Technologies Group
5
 Computer Science, the Univ. of Manchester, UK
6
 
7
 Authors:
8
 Wei Song     wsong83@gmail.com
9
 
10
 License: LGPL 3.0 or later
11
 
12
 Pipeline controller
13
 
14
 References
15
 See the STG and compiled verilog in sdm/stg/, ibctl.g and ibctl.v
16
 
17
 History:
18
 21/06/2009  Initial version. <wsong83@gmail.com>
19
 
20
*/
21
 
22
module ppc(/*AUTOARG*/
23
   // Outputs
24
   eofan, decan,
25
   // Inputs
26
   eof, doa
27
   );
28
   input              eof, doa;
29
   wire               eofa;     // the ack to eof
30
   output             eofan;    // the ack to eof
31
   output             decan;    // the ack to routing requests
32
 
33
   c2p CEoF (.q(eofa), .a(doa), .b(eof));
34
   assign eofan = ~eofa;
35
   assign decan = (~(eof+eofa))+(~doa);
36
endmodule // ppc
37
 
38
 
39
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.