OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [trunk/] [vc/] [tb/] [noctb.v] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 wsong0210
/*
2
 Asynchronous SDM NoC
3
 (C)2011 Wei Song
4
 Advanced Processor Technologies Group
5
 Computer Science, the Univ. of Manchester, UK
6
 
7
 Authors:
8
 Wei Song     wsong83@gmail.com
9
 
10
 License: LGPL 3.0 or later
11
 
12
 Test bench.
13
 
14
 History:
15
 03/03/2011  Initial version. <wsong83@gmail.com>
16
 05/06/2011  Clean up for opensource. <wsong83@gmail.com>
17
 
18
*/
19
 
20
`timescale 1ns/1ps
21
 
22
module noctb;
23
   parameter DW = 8;            // the data width of a single virtual circuit
24
   parameter VCN = 2;           // the number of VCs per direction
25
   parameter DIMX = 4;          // the X dimension
26
   parameter DIMY = 4;          // the Y dimension
27
 
28
   reg rst_n;
29
 
30
   noc_top #(.DW(DW), .VCN(VCN), .DIMX(DIMX), .DIMY(DIMY))
31
   NoC (.rst_n(rst_n));         // the mesh network
32
 
33
   AnaProc ANAM();              // the global performance analyser
34
 
35
   initial begin
36
      rst_n = 0;
37
 
38
      # 133;
39
 
40
      rst_n = 1;
41
 
42
   end
43
 
44
endmodule // noctb
45
 
46
 
47
 
48
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.