OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_orig/] [bit_processor.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- "Bit processor" for AVR core
3
-- Version 1.3(Special version for the JTAG OCD)
4
-- Designed by Ruslan Lepetenok
5
-- Modified 29.08.2003
6
-- Unused inputs(sreg_bit_num[2..0],idc_sbi,idc_cbi,idc_bld) was removed.
7
--************************************************************************************************
8
 
9
library IEEE;
10
use IEEE.std_logic_1164.all;
11
use IEEE.std_logic_unsigned.all;
12
 
13
entity bit_processor is port(
14
                                                          --Clock and reset
15
                              cp2             : in  std_logic;
16
                                                          cp2en           : in  std_logic;
17
                              ireset          : in  std_logic;
18
 
19
                              bit_num_r_io    : in  std_logic_vector(2 downto 0); -- BIT NUMBER FOR CBI/SBI/BLD/BST/SBRS/SBRC/SBIC/SBIS INSTRUCTIONS
20
                              dbusin          : in  std_logic_vector(7 downto 0); -- SBI/CBI/SBIS/SBIC  IN
21
                              bitpr_io_out    : out std_logic_vector(7 downto 0); -- SBI/CBI OUT        
22
                              sreg_out        : in  std_logic_vector(7 downto 0); -- BRBS/BRBC/BLD IN 
23
                              branch          : in  std_logic_vector(2 downto 0); -- NUMBER (0..7) OF BRANCH CONDITION FOR BRBS/BRBC INSTRUCTION
24
                              bit_pr_sreg_out : out std_logic_vector(7 downto 0); -- BCLR/BSET/BST(T-FLAG ONLY)             
25
                              bld_op_out      : out std_logic_vector(7 downto 0); -- BLD OUT (T FLAG)
26
                              reg_rd_out      : in  std_logic_vector(7 downto 0); -- BST/SBRS/SBRC IN    
27
                              bit_test_op_out : out std_logic;                    -- OUTPUT OF SBIC/SBIS/SBRS/SBRC/BRBC/BRBS
28
                              -- Instructions and states
29
                              sbi_st          : in  std_logic;
30
                              cbi_st          : in  std_logic;
31
                              idc_bst         : in  std_logic;
32
                              idc_bset        : in  std_logic;
33
                              idc_bclr        : in  std_logic;
34
                              idc_sbic        : in  std_logic;
35
                              idc_sbis        : in  std_logic;
36
                              idc_sbrs        : in  std_logic;
37
                              idc_sbrc        : in  std_logic;
38
                              idc_brbs        : in  std_logic;
39
                              idc_brbc        : in  std_logic;
40
                              idc_reti        : in  std_logic
41
                                                          );
42
 
43
end bit_processor;
44
 
45
architecture RTL of bit_processor is
46
 
47
signal sreg_t_flag     : std_logic;                      --  FOR  BLD INSTRUCTION
48
 
49
signal temp_in_data    : std_logic_vector(7 downto 0);
50
signal sreg_t_temp     : std_logic_vector(7 downto 0);
51
signal bit_num_decode   : std_logic_vector(7 downto 0);
52
signal bit_pr_sreg_out_int : std_logic_vector(7 downto 0);
53
 
54
-- SBIS/SBIC/SBRS/SBRC SIGNALS
55
signal bit_test_in      : std_logic_vector(7 downto 0);
56
signal bit_test_mux_out : std_logic_vector(7 downto 0);
57
 
58
-- BRBS/BRBC SIGNALS
59
signal branch_decode    : std_logic_vector(7 downto 0);
60
signal branch_mux       : std_logic_vector(7 downto 0);
61
 
62
begin
63
 
64
sreg_t_flag <= sreg_out(6);
65
 
66
 
67
-- SBI/CBI STORE REGISTER
68
sbi_cbi:process(cp2,ireset)
69
begin
70
if ireset='0' then
71
temp_in_data <= (others =>'0');
72
elsif (cp2='1' and cp2'event) then
73
 if (cp2en='1') then                                                      -- Clock enable
74
  temp_in_data <= dbusin;
75
 end if;
76
end if;
77
end process;
78
 
79
sbi_cbi_logic:for i in dbusin'range generate
80
bitpr_io_out(i) <= '1' when (sbi_st='1' and bit_num_decode(i)='1') else  -- SBI
81
                                   '0' when (cbi_st='1' and bit_num_decode(i)='1') else   -- CBI
82
                                   temp_in_data(i);                                                                          -- ???
83
end generate;
84
 
85
 
86
-- ########################################################################################
87
 
88
-- BST PART (LOAD T BIT OF SREG FROM THE GENERAL PURPOSE REGISTER)
89
bit_num_decode_logic:for i in bit_num_decode'range generate
90
bit_num_decode(i) <= '1' when (i=bit_num_r_io) else '0';
91
end generate;
92
 
93
sreg_t_temp(0) <= reg_rd_out(0) when bit_num_decode(0)='1' else '0';
94
bld_logic:for i in 1 to 7 generate
95
sreg_t_temp(i)<= reg_rd_out(i) when bit_num_decode(i)='1' else sreg_t_temp(i-1);
96
end generate;
97
 
98
-- BLD LOGIC
99
bld_inst:for i in reg_rd_out'range generate
100
bld_op_out(i) <= sreg_t_flag when (i=bit_num_r_io) else reg_rd_out(i);
101
end generate;
102
 
103
 
104
-- ########################################################################################
105
 
106
-- BCLR/BSET/BST/RETI LOGIC
107
bclr_bset_logic:for i in 0 to 6 generate
108
bit_pr_sreg_out_int(i) <= (idc_bset and not reg_rd_out(i)) or (not idc_bclr and reg_rd_out(i));
109
end generate;
110
-- SREG REGISTER BIT 7 - INTERRUPT ENABLE FLAG
111
bit_pr_sreg_out_int(7) <= (idc_bset and not reg_rd_out(7)) or (not idc_bclr and reg_rd_out(7)) or idc_reti;
112
 
113
bit_pr_sreg_out <= bit_pr_sreg_out_int(7)&sreg_t_temp(7)&bit_pr_sreg_out_int(5 downto 0) when (idc_bst='1')
114
                                                                                   else bit_pr_sreg_out_int;
115
 
116
-- SBIC/SBIS/SBRS/SBRC LOGIC
117
bit_test_in <= dbusin when (idc_sbis='1' or idc_sbic='1') else reg_rd_out;
118
 
119
bit_test_mux_out(0) <= bit_test_in(0) when bit_num_decode(0)='1' else '0';
120
it_test_mux:for i in 1 to 7 generate
121
bit_test_mux_out(i)<= bit_test_in(i) when bit_num_decode(i)='1' else bit_test_mux_out(i-1);
122
end generate;
123
 
124
bit_test_op_out <= (bit_test_mux_out(7) and (idc_sbis or idc_sbrs)) or
125
                   (not bit_test_mux_out(7) and (idc_sbic or idc_sbrc)) or
126
                   (branch_mux(7) and idc_brbs) or
127
                   (not branch_mux(7) and idc_brbc);
128
 
129
-- BRBS/BRBC LOGIC
130
 
131
branch_decode_logic:for i in branch_decode'range generate
132
branch_decode(i) <= '1' when (i=branch) else '0';
133
end generate;
134
 
135
branch_mux(0) <= sreg_out(0) when branch_decode(0)='1' else '0';
136
branch_mux_logic:for i in 1 to 7 generate
137
branch_mux(i)<= sreg_out(i) when branch_decode(i)='1' else branch_mux(i-1);
138
end generate;
139
 
140
 
141
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.