OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_orig/] [io_adr_dec.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- Internal I/O registers decoder/multiplexer for the AVR core
3
-- Version 1.11
4
-- Modified 05.06.2003
5
-- Designed by Ruslan Lepetenok
6
--************************************************************************************************
7
 
8
library IEEE;
9
use IEEE.std_logic_1164.all;
10
 
11
use WORK.AVRuCPackage.all;
12
 
13
entity io_adr_dec is port (
14
          adr          : in std_logic_vector(5 downto 0);
15
          iore         : in std_logic;
16
          dbusin_ext   : in std_logic_vector(7 downto 0);
17
          dbusin_int   : out std_logic_vector(7 downto 0);
18
 
19
          spl_out      : in std_logic_vector(7 downto 0);
20
          sph_out      : in std_logic_vector(7 downto 0);
21
          sreg_out     : in std_logic_vector(7 downto 0);
22
          rampz_out    : in std_logic_vector(7 downto 0));
23
end io_adr_dec;
24
 
25
architecture RTL of io_adr_dec is
26
 
27
begin
28
 
29
dbusin_int <= spl_out   when (adr=SPL_Address  and iore='1') else
30
              sph_out  when  (adr=SPH_Address  and iore='1') else
31
              sreg_out when  (adr=SREG_Address  and iore='1') else
32
              rampz_out when (adr=RAMPZ_Address and iore='1') else
33
              dbusin_ext;
34
 
35
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.