OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_s3_cm2/] [bit_processor.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- "Bit processor" for AVR core
3
-- Version 1.3(Special version for the JTAG OCD)
4
-- Designed by Ruslan Lepetenok
5
-- Modified 29.08.2003
6
-- Unused inputs(sreg_bit_num[2..0],idc_sbi,idc_cbi,idc_bld) was removed.
7
--************************************************************************************************
8
 
9
library IEEE;
10
use IEEE.std_logic_1164.all;
11
use IEEE.std_logic_unsigned.all;
12
 
13
entity bit_processor_cm2 is port(
14
                cp2_cml_1 : in std_logic;
15
 
16
                                                          --Clock and reset
17
                              cp2             : in  std_logic;
18
                                                          cp2en           : in  std_logic;
19
                              ireset          : in  std_logic;
20
 
21
                              bit_num_r_io    : in  std_logic_vector(2 downto 0); -- BIT NUMBER FOR CBI/SBI/BLD/BST/SBRS/SBRC/SBIC/SBIS INSTRUCTIONS
22
                              dbusin          : in  std_logic_vector(7 downto 0); -- SBI/CBI/SBIS/SBIC  IN
23
                              bitpr_io_out    : out std_logic_vector(7 downto 0); -- SBI/CBI OUT        
24
                              sreg_out        : in  std_logic_vector(7 downto 0); -- BRBS/BRBC/BLD IN 
25
                              branch          : in  std_logic_vector(2 downto 0); -- NUMBER (0..7) OF BRANCH CONDITION FOR BRBS/BRBC INSTRUCTION
26
                              bit_pr_sreg_out : out std_logic_vector(7 downto 0); -- BCLR/BSET/BST(T-FLAG ONLY)             
27
                              bld_op_out      : out std_logic_vector(7 downto 0); -- BLD OUT (T FLAG)
28
                              reg_rd_out      : in  std_logic_vector(7 downto 0); -- BST/SBRS/SBRC IN    
29
                              bit_test_op_out : out std_logic;                    -- OUTPUT OF SBIC/SBIS/SBRS/SBRC/BRBC/BRBS
30
                              -- Instructions and states
31
                              sbi_st          : in  std_logic;
32
                              cbi_st          : in  std_logic;
33
                              idc_bst         : in  std_logic;
34
                              idc_bset        : in  std_logic;
35
                              idc_bclr        : in  std_logic;
36
                              idc_sbic        : in  std_logic;
37
                              idc_sbis        : in  std_logic;
38
                              idc_sbrs        : in  std_logic;
39
                              idc_sbrc        : in  std_logic;
40
                              idc_brbs        : in  std_logic;
41
                              idc_brbc        : in  std_logic;
42
                              idc_reti        : in  std_logic
43
                                                          );
44
 
45
end bit_processor_cm2;
46
 
47
architecture RTL of bit_processor_cm2 is
48
 
49
signal sreg_t_flag     : std_logic;                      --  FOR  BLD INSTRUCTION
50
 
51
signal temp_in_data    : std_logic_vector(7 downto 0);
52
signal sreg_t_temp     : std_logic_vector(7 downto 0);
53
signal bit_num_decode   : std_logic_vector(7 downto 0);
54
signal bit_pr_sreg_out_int : std_logic_vector(7 downto 0);
55
 
56
-- SBIS/SBIC/SBRS/SBRC SIGNALS
57
signal bit_test_in      : std_logic_vector(7 downto 0);
58
signal bit_test_mux_out : std_logic_vector(7 downto 0);
59
 
60
-- BRBS/BRBC SIGNALS
61
signal branch_decode    : std_logic_vector(7 downto 0);
62
signal branch_mux       : std_logic_vector(7 downto 0);
63
 
64
signal bld_op_out_cml_out :  std_logic_vector ( 7 downto 0 );
65
signal bit_num_r_io_cml_1 :  std_logic_vector ( 2 downto 0 );
66
signal sreg_out_cml_1 :  std_logic_vector ( 7 downto 0 );
67
signal reg_rd_out_cml_1 :  std_logic_vector ( 7 downto 0 );
68
signal bit_test_op_out_cml_out :  std_logic;
69
signal idc_sbic_cml_1 :  std_logic;
70
signal idc_sbis_cml_1 :  std_logic;
71
signal idc_sbrs_cml_1 :  std_logic;
72
signal idc_sbrc_cml_1 :  std_logic;
73
signal idc_brbs_cml_1 :  std_logic;
74
signal idc_brbc_cml_1 :  std_logic;
75
signal temp_in_data_cml_1 :  std_logic_vector ( 7 downto 0 );
76
signal bit_num_decode_cml_1 :  std_logic_vector ( 7 downto 0 );
77
signal branch_mux_cml_1 :  std_logic_vector ( 7 downto 0 );
78
 
79
begin
80
 
81
 
82
 
83
process(cp2_cml_1) begin
84
if (cp2_cml_1 = '1' and cp2_cml_1'event) then
85
        bit_num_r_io_cml_1 <= bit_num_r_io;
86
        sreg_out_cml_1 <= sreg_out;
87
        reg_rd_out_cml_1 <= reg_rd_out;
88
        idc_sbic_cml_1 <= idc_sbic;
89
        idc_sbis_cml_1 <= idc_sbis;
90
        idc_sbrs_cml_1 <= idc_sbrs;
91
        idc_sbrc_cml_1 <= idc_sbrc;
92
        idc_brbs_cml_1 <= idc_brbs;
93
        idc_brbc_cml_1 <= idc_brbc;
94
        temp_in_data_cml_1 <= temp_in_data;
95
        bit_num_decode_cml_1 <= bit_num_decode;
96
        branch_mux_cml_1 <= branch_mux;
97
end if;
98
end process;
99
bld_op_out <= bld_op_out_cml_out;
100
bit_test_op_out <= bit_test_op_out_cml_out;
101
 
102
 
103
-- SynEDA CoreMultiplier
104
-- assignment(s): sreg_t_flag
105
-- replace(s): sreg_out
106
 
107
sreg_t_flag <= sreg_out_cml_1(6);
108
 
109
 
110
-- SynEDA CoreMultiplier
111
-- assignment(s): temp_in_data
112
-- replace(s): temp_in_data
113
 
114
-- SBI/CBI STORE REGISTER
115
sbi_cbi:process(cp2,ireset)
116
begin
117
if ireset='0' then
118
temp_in_data <= (others =>'0');
119
elsif (cp2='1' and cp2'event) then temp_in_data <= temp_in_data_cml_1;
120
 if (cp2en='1') then                                                      -- Clock enable
121
  temp_in_data <= dbusin;
122
 end if;
123
end if;
124
end process;
125
 
126
sbi_cbi_logic:for i in dbusin'range generate
127
bitpr_io_out(i) <= '1' when (sbi_st='1' and bit_num_decode(i)='1') else  -- SBI
128
                                   '0' when (cbi_st='1' and bit_num_decode(i)='1') else   -- CBI
129
                                   temp_in_data(i);                                                                          -- ???
130
end generate;
131
 
132
 
133
-- ########################################################################################
134
 
135
-- BST PART (LOAD T BIT OF SREG FROM THE GENERAL PURPOSE REGISTER)
136
bit_num_decode_logic:for i in bit_num_decode'range generate
137
bit_num_decode(i) <= '1' when (i=bit_num_r_io) else '0';
138
end generate;
139
 
140
sreg_t_temp(0) <= reg_rd_out_cml_1(0) when bit_num_decode_cml_1(0)='1' else '0';
141
bld_logic:for i in 1 to 7 generate
142
-- SynEDA CoreMultiplier
143
-- assignment(s): sreg_t_temp
144
-- replace(s): reg_rd_out, bit_num_decode
145
 
146
sreg_t_temp(i)<= reg_rd_out_cml_1(i) when bit_num_decode_cml_1(i)='1' else sreg_t_temp(i-1);
147
end generate;
148
 
149
-- BLD LOGIC
150
bld_inst:for i in reg_rd_out'range generate
151
-- SynEDA CoreMultiplier
152
-- assignment(s): bld_op_out
153
-- replace(s): bit_num_r_io, reg_rd_out
154
 
155
bld_op_out_cml_out(i) <= sreg_t_flag when (i=bit_num_r_io_cml_1) else reg_rd_out_cml_1(i);
156
end generate;
157
 
158
 
159
-- ########################################################################################
160
 
161
-- BCLR/BSET/BST/RETI LOGIC
162
bclr_bset_logic:for i in 0 to 6 generate
163
bit_pr_sreg_out_int(i) <= (idc_bset and not reg_rd_out_cml_1(i)) or (not idc_bclr and reg_rd_out_cml_1(i));
164
end generate;
165
-- SynEDA CoreMultiplier
166
-- assignment(s): bit_pr_sreg_out_int
167
-- replace(s): reg_rd_out
168
 
169
-- SREG REGISTER BIT 7 - INTERRUPT ENABLE FLAG
170
bit_pr_sreg_out_int(7) <= (idc_bset and not reg_rd_out_cml_1(7)) or (not idc_bclr and reg_rd_out_cml_1(7)) or idc_reti;
171
 
172
bit_pr_sreg_out <= bit_pr_sreg_out_int(7)&sreg_t_temp(7)&bit_pr_sreg_out_int(5 downto 0) when (idc_bst='1')
173
                                                                                   else bit_pr_sreg_out_int;
174
 
175
-- SynEDA CoreMultiplier
176
-- assignment(s): bit_test_in
177
-- replace(s): reg_rd_out, idc_sbic, idc_sbis
178
 
179
-- SBIC/SBIS/SBRS/SBRC LOGIC
180
bit_test_in <= dbusin when (idc_sbis_cml_1='1' or idc_sbic_cml_1='1') else reg_rd_out_cml_1;
181
 
182
bit_test_mux_out(0) <= bit_test_in(0) when bit_num_decode_cml_1(0)='1' else '0';
183
it_test_mux:for i in 1 to 7 generate
184
-- SynEDA CoreMultiplier
185
-- assignment(s): bit_test_mux_out
186
-- replace(s): bit_num_decode
187
 
188
bit_test_mux_out(i)<= bit_test_in(i) when bit_num_decode_cml_1(i)='1' else bit_test_mux_out(i-1);
189
end generate;
190
 
191
-- SynEDA CoreMultiplier
192
-- assignment(s): bit_test_op_out
193
-- replace(s): idc_sbic, idc_sbis, idc_sbrs, idc_sbrc, idc_brbs, idc_brbc, branch_mux
194
 
195
bit_test_op_out_cml_out <= (bit_test_mux_out(7) and (idc_sbis_cml_1 or idc_sbrs_cml_1)) or
196
                   (not bit_test_mux_out(7) and (idc_sbic_cml_1 or idc_sbrc_cml_1)) or
197
                   (branch_mux_cml_1(7) and idc_brbs_cml_1) or
198
                   (not branch_mux_cml_1(7) and idc_brbc_cml_1);
199
 
200
-- BRBS/BRBC LOGIC
201
 
202
branch_decode_logic:for i in branch_decode'range generate
203
branch_decode(i) <= '1' when (i=branch) else '0';
204
end generate;
205
 
206
branch_mux(0) <= sreg_out(0) when branch_decode(0)='1' else '0';
207
branch_mux_logic:for i in 1 to 7 generate
208
branch_mux(i)<= sreg_out(i) when branch_decode(i)='1' else branch_mux(i-1);
209
end generate;
210
 
211
 
212
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.