OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_s3_cm2/] [io_adr_dec.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- Internal I/O registers decoder/multiplexer for the AVR core
3
-- Version 1.11
4
-- Modified 05.06.2003
5
-- Designed by Ruslan Lepetenok
6
--************************************************************************************************
7
 
8
library IEEE;
9
use IEEE.std_logic_1164.all;
10
 
11
use WORK.AVRuCPackage.all;
12
 
13
entity io_adr_dec_cm2 is port (
14
                cp2_cml_1 : in std_logic;
15
 
16
          adr          : in std_logic_vector(5 downto 0);
17
          iore         : in std_logic;
18
          dbusin_ext   : in std_logic_vector(7 downto 0);
19
          dbusin_int   : out std_logic_vector(7 downto 0);
20
 
21
          spl_out      : in std_logic_vector(7 downto 0);
22
          sph_out      : in std_logic_vector(7 downto 0);
23
          sreg_out     : in std_logic_vector(7 downto 0);
24
          rampz_out    : in std_logic_vector(7 downto 0));
25
end io_adr_dec_cm2;
26
 
27
architecture RTL of io_adr_dec_cm2 is
28
 
29
signal dbusin_int_cml_out :  std_logic_vector ( 7 downto 0 );
30
signal adr_cml_1 :  std_logic_vector ( 5 downto 0 );
31
signal iore_cml_1 :  std_logic;
32
signal dbusin_ext_cml_1 :  std_logic_vector ( 7 downto 0 );
33
signal spl_out_cml_1 :  std_logic_vector ( 7 downto 0 );
34
signal sph_out_cml_1 :  std_logic_vector ( 7 downto 0 );
35
signal sreg_out_cml_1 :  std_logic_vector ( 7 downto 0 );
36
signal rampz_out_cml_1 :  std_logic_vector ( 7 downto 0 );
37
 
38
begin
39
 
40
 
41
 
42
process(cp2_cml_1) begin
43
if (cp2_cml_1 = '1' and cp2_cml_1'event) then
44
        adr_cml_1 <= adr;
45
        iore_cml_1 <= iore;
46
        dbusin_ext_cml_1 <= dbusin_ext;
47
        spl_out_cml_1 <= spl_out;
48
        sph_out_cml_1 <= sph_out;
49
        sreg_out_cml_1 <= sreg_out;
50
        rampz_out_cml_1 <= rampz_out;
51
end if;
52
end process;
53
dbusin_int <= dbusin_int_cml_out;
54
 
55
 
56
-- SynEDA CoreMultiplier
57
-- assignment(s): dbusin_int
58
-- replace(s): adr, iore, dbusin_ext, spl_out, sph_out, sreg_out, rampz_out
59
 
60
dbusin_int_cml_out <= spl_out_cml_1   when (adr_cml_1=SPL_Address  and iore_cml_1='1') else
61
              sph_out_cml_1  when  (adr_cml_1=SPH_Address  and iore_cml_1='1') else
62
              sreg_out_cml_1 when  (adr_cml_1=SREG_Address  and iore_cml_1='1') else
63
              rampz_out_cml_1 when (adr_cml_1=RAMPZ_Address and iore_cml_1='1') else
64
              dbusin_ext_cml_1;
65
 
66
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.