OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_s3_cm4/] [bit_processor.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- "Bit processor" for AVR core
3
-- Version 1.3(Special version for the JTAG OCD)
4
-- Designed by Ruslan Lepetenok
5
-- Modified 29.08.2003
6
-- Unused inputs(sreg_bit_num[2..0],idc_sbi,idc_cbi,idc_bld) was removed.
7
--************************************************************************************************
8
 
9
library IEEE;
10
use IEEE.std_logic_1164.all;
11
use IEEE.std_logic_unsigned.all;
12
 
13
entity bit_processor_cm4 is port(
14
                cp2_cml_1 : in std_logic;
15
                cp2_cml_2 : in std_logic;
16
                cp2_cml_3 : in std_logic;
17
 
18
                                                          --Clock and reset
19
                              cp2             : in  std_logic;
20
                                                          cp2en           : in  std_logic;
21
                              ireset          : in  std_logic;
22
 
23
                              bit_num_r_io    : in  std_logic_vector(2 downto 0); -- BIT NUMBER FOR CBI/SBI/BLD/BST/SBRS/SBRC/SBIC/SBIS INSTRUCTIONS
24
                              dbusin          : in  std_logic_vector(7 downto 0); -- SBI/CBI/SBIS/SBIC  IN
25
                              bitpr_io_out    : out std_logic_vector(7 downto 0); -- SBI/CBI OUT        
26
                              sreg_out        : in  std_logic_vector(7 downto 0); -- BRBS/BRBC/BLD IN 
27
                              branch          : in  std_logic_vector(2 downto 0); -- NUMBER (0..7) OF BRANCH CONDITION FOR BRBS/BRBC INSTRUCTION
28
                              bit_pr_sreg_out : out std_logic_vector(7 downto 0); -- BCLR/BSET/BST(T-FLAG ONLY)             
29
                              bld_op_out      : out std_logic_vector(7 downto 0); -- BLD OUT (T FLAG)
30
                              reg_rd_out      : in  std_logic_vector(7 downto 0); -- BST/SBRS/SBRC IN    
31
                              bit_test_op_out : out std_logic;                    -- OUTPUT OF SBIC/SBIS/SBRS/SBRC/BRBC/BRBS
32
                              -- Instructions and states
33
                              sbi_st          : in  std_logic;
34
                              cbi_st          : in  std_logic;
35
                              idc_bst         : in  std_logic;
36
                              idc_bset        : in  std_logic;
37
                              idc_bclr        : in  std_logic;
38
                              idc_sbic        : in  std_logic;
39
                              idc_sbis        : in  std_logic;
40
                              idc_sbrs        : in  std_logic;
41
                              idc_sbrc        : in  std_logic;
42
                              idc_brbs        : in  std_logic;
43
                              idc_brbc        : in  std_logic;
44
                              idc_reti        : in  std_logic
45
                                                          );
46
 
47
end bit_processor_cm4;
48
 
49
architecture RTL of bit_processor_cm4 is
50
 
51
signal sreg_t_flag     : std_logic;                      --  FOR  BLD INSTRUCTION
52
 
53
signal temp_in_data    : std_logic_vector(7 downto 0);
54
signal sreg_t_temp     : std_logic_vector(7 downto 0);
55
signal bit_num_decode   : std_logic_vector(7 downto 0);
56
signal bit_pr_sreg_out_int : std_logic_vector(7 downto 0);
57
 
58
-- SBIS/SBIC/SBRS/SBRC SIGNALS
59
signal bit_test_in      : std_logic_vector(7 downto 0);
60
signal bit_test_mux_out : std_logic_vector(7 downto 0);
61
 
62
-- BRBS/BRBC SIGNALS
63
signal branch_decode    : std_logic_vector(7 downto 0);
64
signal branch_mux       : std_logic_vector(7 downto 0);
65
 
66
signal bld_op_out_cml_out :  std_logic_vector ( 7 downto 0 );
67
signal bit_num_r_io_cml_3 :  std_logic_vector ( 2 downto 0 );
68
signal bit_num_r_io_cml_2 :  std_logic_vector ( 2 downto 0 );
69
signal bit_num_r_io_cml_1 :  std_logic_vector ( 2 downto 0 );
70
signal dbusin_cml_3 :  std_logic_vector ( 7 downto 0 );
71
signal sreg_out_cml_3 :  std_logic_vector ( 7 downto 0 );
72
signal sreg_out_cml_2 :  std_logic_vector ( 7 downto 0 );
73
signal sreg_out_cml_1 :  std_logic_vector ( 7 downto 0 );
74
signal reg_rd_out_cml_3 :  std_logic_vector ( 7 downto 0 );
75
signal reg_rd_out_cml_2 :  std_logic_vector ( 7 downto 0 );
76
signal bit_test_op_out_cml_out :  std_logic;
77
signal idc_sbic_cml_3 :  std_logic;
78
signal idc_sbis_cml_3 :  std_logic;
79
signal temp_in_data_cml_3 :  std_logic_vector ( 7 downto 0 );
80
signal temp_in_data_cml_2 :  std_logic_vector ( 7 downto 0 );
81
signal temp_in_data_cml_1 :  std_logic_vector ( 7 downto 0 );
82
signal bit_num_decode_cml_3 :  std_logic_vector ( 7 downto 0 );
83
signal bit_num_decode_cml_2 :  std_logic_vector ( 7 downto 0 );
84
signal bit_num_decode_cml_1 :  std_logic_vector ( 7 downto 0 );
85
signal bit_test_mux_out_cml_3 :  std_logic_vector ( 7 downto 0 );
86
signal branch_mux_cml_3 :  std_logic_vector ( 7 downto 0 );
87
 
88
begin
89
 
90
 
91
 
92
process(cp2_cml_1) begin
93
if (cp2_cml_1 = '1' and cp2_cml_1'event) then
94
        bit_num_r_io_cml_1 <= bit_num_r_io;
95
        sreg_out_cml_1 <= sreg_out;
96
        temp_in_data_cml_1 <= temp_in_data;
97
        bit_num_decode_cml_1 <= bit_num_decode;
98
end if;
99
end process;
100
 
101
process(cp2_cml_2) begin
102
if (cp2_cml_2 = '1' and cp2_cml_2'event) then
103
        bit_num_r_io_cml_2 <= bit_num_r_io_cml_1;
104
        sreg_out_cml_2 <= sreg_out_cml_1;
105
        reg_rd_out_cml_2 <= reg_rd_out;
106
        temp_in_data_cml_2 <= temp_in_data_cml_1;
107
        bit_num_decode_cml_2 <= bit_num_decode_cml_1;
108
end if;
109
end process;
110
 
111
process(cp2_cml_3) begin
112
if (cp2_cml_3 = '1' and cp2_cml_3'event) then
113
        bit_num_r_io_cml_3 <= bit_num_r_io_cml_2;
114
        dbusin_cml_3 <= dbusin;
115
        sreg_out_cml_3 <= sreg_out_cml_2;
116
        reg_rd_out_cml_3 <= reg_rd_out_cml_2;
117
        idc_sbic_cml_3 <= idc_sbic;
118
        idc_sbis_cml_3 <= idc_sbis;
119
        temp_in_data_cml_3 <= temp_in_data_cml_2;
120
        bit_num_decode_cml_3 <= bit_num_decode_cml_2;
121
        bit_test_mux_out_cml_3 <= bit_test_mux_out;
122
        branch_mux_cml_3 <= branch_mux;
123
end if;
124
end process;
125
bld_op_out <= bld_op_out_cml_out;
126
bit_test_op_out <= bit_test_op_out_cml_out;
127
 
128
 
129
-- SynEDA CoreMultiplier
130
-- assignment(s): sreg_t_flag
131
-- replace(s): sreg_out
132
 
133
sreg_t_flag <= sreg_out_cml_3(6);
134
 
135
 
136
-- SynEDA CoreMultiplier
137
-- assignment(s): temp_in_data
138
-- replace(s): dbusin, temp_in_data
139
 
140
-- SBI/CBI STORE REGISTER
141
sbi_cbi:process(cp2,ireset)
142
begin
143
if ireset='0' then
144
temp_in_data <= (others =>'0');
145
elsif (cp2='1' and cp2'event) then temp_in_data <= temp_in_data_cml_3;
146
 if (cp2en='1') then                                                      -- Clock enable
147
  temp_in_data <= dbusin_cml_3;
148
 end if;
149
end if;
150
end process;
151
 
152
sbi_cbi_logic:for i in dbusin'range generate
153
bitpr_io_out(i) <= '1' when (sbi_st='1' and bit_num_decode(i)='1') else  -- SBI
154
                                   '0' when (cbi_st='1' and bit_num_decode(i)='1') else   -- CBI
155
                                   temp_in_data(i);                                                                          -- ???
156
end generate;
157
 
158
 
159
-- ########################################################################################
160
 
161
-- BST PART (LOAD T BIT OF SREG FROM THE GENERAL PURPOSE REGISTER)
162
bit_num_decode_logic:for i in bit_num_decode'range generate
163
bit_num_decode(i) <= '1' when (i=bit_num_r_io) else '0';
164
end generate;
165
 
166
sreg_t_temp(0) <= reg_rd_out_cml_3(0) when bit_num_decode_cml_3(0)='1' else '0';
167
bld_logic:for i in 1 to 7 generate
168
-- SynEDA CoreMultiplier
169
-- assignment(s): sreg_t_temp
170
-- replace(s): reg_rd_out, bit_num_decode
171
 
172
sreg_t_temp(i)<= reg_rd_out_cml_3(i) when bit_num_decode_cml_3(i)='1' else sreg_t_temp(i-1);
173
end generate;
174
 
175
-- BLD LOGIC
176
bld_inst:for i in reg_rd_out'range generate
177
-- SynEDA CoreMultiplier
178
-- assignment(s): bld_op_out
179
-- replace(s): bit_num_r_io, reg_rd_out
180
 
181
bld_op_out_cml_out(i) <= sreg_t_flag when (i=bit_num_r_io_cml_3) else reg_rd_out_cml_3(i);
182
end generate;
183
 
184
 
185
-- ########################################################################################
186
 
187
-- BCLR/BSET/BST/RETI LOGIC
188
bclr_bset_logic:for i in 0 to 6 generate
189
bit_pr_sreg_out_int(i) <= (idc_bset and not reg_rd_out_cml_3(i)) or (not idc_bclr and reg_rd_out_cml_3(i));
190
end generate;
191
-- SynEDA CoreMultiplier
192
-- assignment(s): bit_pr_sreg_out_int
193
-- replace(s): reg_rd_out
194
 
195
-- SREG REGISTER BIT 7 - INTERRUPT ENABLE FLAG
196
bit_pr_sreg_out_int(7) <= (idc_bset and not reg_rd_out_cml_3(7)) or (not idc_bclr and reg_rd_out_cml_3(7)) or idc_reti;
197
 
198
bit_pr_sreg_out <= bit_pr_sreg_out_int(7)&sreg_t_temp(7)&bit_pr_sreg_out_int(5 downto 0) when (idc_bst='1')
199
                                                                                   else bit_pr_sreg_out_int;
200
 
201
-- SynEDA CoreMultiplier
202
-- assignment(s): bit_test_in
203
-- replace(s): reg_rd_out
204
 
205
-- SBIC/SBIS/SBRS/SBRC LOGIC
206
bit_test_in <= dbusin when (idc_sbis='1' or idc_sbic='1') else reg_rd_out_cml_2;
207
 
208
bit_test_mux_out(0) <= bit_test_in(0) when bit_num_decode_cml_2(0)='1' else '0';
209
it_test_mux:for i in 1 to 7 generate
210
-- SynEDA CoreMultiplier
211
-- assignment(s): bit_test_mux_out
212
-- replace(s): bit_num_decode
213
 
214
bit_test_mux_out(i)<= bit_test_in(i) when bit_num_decode_cml_2(i)='1' else bit_test_mux_out(i-1);
215
end generate;
216
 
217
-- SynEDA CoreMultiplier
218
-- assignment(s): bit_test_op_out
219
-- replace(s): idc_sbic, idc_sbis, bit_test_mux_out, branch_mux
220
 
221
bit_test_op_out_cml_out <= (bit_test_mux_out_cml_3(7) and (idc_sbis_cml_3 or idc_sbrs)) or
222
                   (not bit_test_mux_out_cml_3(7) and (idc_sbic_cml_3 or idc_sbrc)) or
223
                   (branch_mux_cml_3(7) and idc_brbs) or
224
                   (not branch_mux_cml_3(7) and idc_brbc);
225
 
226
-- BRBS/BRBC LOGIC
227
 
228
branch_decode_logic:for i in branch_decode'range generate
229
branch_decode(i) <= '1' when (i=branch) else '0';
230
end generate;
231
 
232
branch_mux(0) <= sreg_out_cml_2(0) when branch_decode(0)='1' else '0';
233
branch_mux_logic:for i in 1 to 7 generate
234
-- SynEDA CoreMultiplier
235
-- assignment(s): branch_mux
236
-- replace(s): sreg_out
237
 
238
branch_mux(i)<= sreg_out_cml_2(i) when branch_decode(i)='1' else branch_mux(i-1);
239
end generate;
240
 
241
 
242
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.