OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_s3_cm4/] [io_reg_file.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- Internal I/O registers (implemented inside the core) decoder/multiplexer 
3
-- for AVR core
4
-- Version 1.3 (Special version for the JTAG OCD)
5
-- Designed by Ruslan Lepetenok
6
-- Modified 22.04.2004
7
--************************************************************************************************
8
 
9
library IEEE;
10
use IEEE.std_logic_1164.all;
11
use IEEE.std_logic_unsigned.all;
12
 
13
use WORK.AVRuCPackage.all;
14
 
15
entity io_reg_file_cm4 is port (
16
                cp2_cml_1 : in std_logic;
17
                cp2_cml_2 : in std_logic;
18
                cp2_cml_3 : in std_logic;
19
 
20
                                    --Clock and reset
21
                                cp2           : in std_logic;
22
                                                        cp2en         : in std_logic;
23
                            ireset        : in std_logic;
24
 
25
                            adr           : in std_logic_vector(5 downto 0);
26
                            iowe          : in std_logic;
27
                            dbusout       : in std_logic_vector(7 downto 0);
28
 
29
                            sreg_fl_in    : in std_logic_vector(7 downto 0);
30
                            sreg_out      : out std_logic_vector(7 downto 0);
31
 
32
                            sreg_fl_wr_en : in  std_logic_vector (7 downto 0);   --FLAGS WRITE ENABLE SIGNALS       
33
 
34
                            spl_out       : out std_logic_vector(7 downto 0);
35
                            sph_out       : out std_logic_vector(7 downto 0);
36
                            sp_ndown_up   : in std_logic; -- DIRECTION OF CHANGING OF STACK POINTER SPH:SPL 0->UP(+) 1->DOWN(-)
37
                            sp_en         : in std_logic; -- WRITE ENABLE(COUNT ENABLE) FOR SPH AND SPL REGISTERS
38
 
39
                            rampz_out    : out std_logic_vector(7 downto 0));
40
end io_reg_file_cm4;
41
 
42
architecture rtl of io_reg_file_cm4 is
43
signal sreg    : std_logic_vector(7 downto 0);
44
signal sph     : std_logic_vector(7 downto 0);
45
signal spl     : std_logic_vector(7 downto 0);
46
signal rampz   : std_logic_vector(7 downto 0);
47
 
48
signal sp_int  : std_logic_vector(15 downto 0);
49
signal sp_intp : std_logic_vector(15 downto 0);
50
signal sp_intm : std_logic_vector(15 downto 0);
51
signal sp_res : std_logic_vector(15 downto 0);
52
 
53
 
54
signal adr_cml_3 :  std_logic_vector ( 5 downto 0 );
55
signal sreg_cml_3 :  std_logic_vector ( 7 downto 0 );
56
signal sreg_cml_2 :  std_logic_vector ( 7 downto 0 );
57
signal sreg_cml_1 :  std_logic_vector ( 7 downto 0 );
58
signal sph_out_cml_out :  std_logic_vector ( 7 downto 0 );
59
signal sph_cml_3 :  std_logic_vector ( 7 downto 0 );
60
signal sph_cml_2 :  std_logic_vector ( 7 downto 0 );
61
signal sph_cml_1 :  std_logic_vector ( 7 downto 0 );
62
signal spl_out_cml_out :  std_logic_vector ( 7 downto 0 );
63
signal spl_cml_3 :  std_logic_vector ( 7 downto 0 );
64
signal spl_cml_2 :  std_logic_vector ( 7 downto 0 );
65
signal spl_cml_1 :  std_logic_vector ( 7 downto 0 );
66
signal rampz_out_cml_out :  std_logic_vector ( 7 downto 0 );
67
signal rampz_cml_3 :  std_logic_vector ( 7 downto 0 );
68
signal rampz_cml_2 :  std_logic_vector ( 7 downto 0 );
69
signal rampz_cml_1 :  std_logic_vector ( 7 downto 0 );
70
 
71
begin
72
 
73
 
74
 
75
process(cp2_cml_1) begin
76
if (cp2_cml_1 = '1' and cp2_cml_1'event) then
77
        sreg_cml_1 <= sreg;
78
        sph_cml_1 <= sph;
79
        spl_cml_1 <= spl;
80
        rampz_cml_1 <= rampz;
81
end if;
82
end process;
83
 
84
process(cp2_cml_2) begin
85
if (cp2_cml_2 = '1' and cp2_cml_2'event) then
86
        sreg_cml_2 <= sreg_cml_1;
87
        sph_cml_2 <= sph_cml_1;
88
        spl_cml_2 <= spl_cml_1;
89
        rampz_cml_2 <= rampz_cml_1;
90
end if;
91
end process;
92
 
93
process(cp2_cml_3) begin
94
if (cp2_cml_3 = '1' and cp2_cml_3'event) then
95
        adr_cml_3 <= adr;
96
        sreg_cml_3 <= sreg_cml_2;
97
        sph_cml_3 <= sph_cml_2;
98
        spl_cml_3 <= spl_cml_2;
99
        rampz_cml_3 <= rampz_cml_2;
100
end if;
101
end process;
102
sph_out <= sph_out_cml_out;
103
spl_out <= spl_out_cml_out;
104
rampz_out <= rampz_out_cml_out;
105
 
106
 
107
-- SynEDA CoreMultiplier
108
-- assignment(s): sreg
109
-- replace(s): adr, sreg
110
 
111
sreg_write:process(cp2,ireset)
112
begin
113
if ireset='0' then
114
sreg <= (others => '0');
115
elsif (cp2='1' and cp2'event) then sreg <= sreg_cml_3;
116
 if (cp2en='1') then                                                      -- Clock enable       
117
  for i in sreg'range loop
118
   if (sreg_fl_wr_en(i)='1' or (adr_cml_3=SREG_Address and iowe='1')) then    -- CLOCK ENABLE
119
    if iowe='1' then
120
     sreg(i) <= dbusout(i);                        -- FROM THE INTERNAL DATA BUS
121
    else
122
     sreg(i) <= sreg_fl_in(i);                  -- FROM ALU FLAGS
123
    end if;
124
   end if;
125
  end loop;
126
 end if;
127
end if;
128
end process;
129
 
130
sreg_out <= sreg;
131
 
132
 
133
-- SynEDA CoreMultiplier
134
-- assignment(s): sp_intp
135
-- replace(s): sph, spl
136
 
137
sp_intp<=(sph_cml_3&spl_cml_3)+1;
138
-- SynEDA CoreMultiplier
139
-- assignment(s): sp_intm
140
-- replace(s): sph, spl
141
 
142
sp_intm<=(sph_cml_3&spl_cml_3)-1;
143
sp_res<= sp_intm when sp_ndown_up='0' else sp_intp;
144
 
145
-- SynEDA CoreMultiplier
146
-- assignment(s): spl
147
-- replace(s): adr, spl
148
 
149
spl_write:process(cp2,ireset)
150
begin
151
if ireset='0' then
152
spl <= (others => '0');
153
elsif (cp2='1' and cp2'event) then spl <= spl_cml_3;
154
if (sp_en='1' or (adr_cml_3=SPL_Address and iowe='1')) then    -- CLOCK ENABLE
155
 if iowe='1' then
156
  spl <= dbusout;                                        -- FROM THE INTERNAL DATA BUS
157
   else
158
    spl <= sp_res(7 downto 0);                          -- FROM SPL BUS
159
     end if;
160
 end if;
161
 
162
end if;
163
end process;
164
 
165
-- SynEDA CoreMultiplier
166
-- assignment(s): spl_out
167
-- replace(s): spl
168
 
169
spl_out_cml_out <= spl_cml_1;
170
 
171
-- SynEDA CoreMultiplier
172
-- assignment(s): sph
173
-- replace(s): adr, sph
174
 
175
sph_write:process(cp2,ireset)
176
begin
177
if ireset='0' then
178
sph <= (others => '0');
179
elsif (cp2='1' and cp2'event) then sph <= sph_cml_3;
180
if (sp_en='1' or (adr_cml_3=SPH_Address and iowe='1')) then    -- CLOCK ENABLE
181
 if iowe='1' then
182
  sph <= dbusout;                        -- FROM THE INTERNAL DATA BUS
183
   else
184
    sph <= sp_res(15 downto 8);                          -- FROM SPH BUS
185
     end if;
186
 end if;
187
 
188
end if;
189
end process;
190
 
191
-- SynEDA CoreMultiplier
192
-- assignment(s): sph_out
193
-- replace(s): sph
194
 
195
sph_out_cml_out <= sph_cml_1;
196
 
197
 
198
-- SynEDA CoreMultiplier
199
-- assignment(s): rampz
200
-- replace(s): adr, rampz
201
 
202
rampz_write:process(cp2,ireset)
203
begin
204
if ireset='0' then
205
rampz <= (others => '0');
206
elsif (cp2='1' and cp2'event) then rampz <= rampz_cml_3;
207
if (adr_cml_3=RAMPZ_Address and iowe='1') then    -- CLOCK ENABLE
208
  rampz <= dbusout;                      -- FROM THE INTERNAL DATA BUS
209
 end if;
210
end if;
211
end process;
212
 
213
-- SynEDA CoreMultiplier
214
-- assignment(s): rampz_out
215
-- replace(s): rampz
216
 
217
rampz_out_cml_out <= rampz_cml_2;
218
 
219
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.