OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_v5_cm2/] [bit_processor.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- "Bit processor" for AVR core
3
-- Version 1.3(Special version for the JTAG OCD)
4
-- Designed by Ruslan Lepetenok
5
-- Modified 29.08.2003
6
-- Unused inputs(sreg_bit_num[2..0],idc_sbi,idc_cbi,idc_bld) was removed.
7
--************************************************************************************************
8
 
9
library IEEE;
10
use IEEE.std_logic_1164.all;
11
use IEEE.std_logic_unsigned.all;
12
 
13
entity bit_processor_cm2 is port(
14
                cp2_cml_1 : in std_logic;
15
 
16
                                                          --Clock and reset
17
                              cp2             : in  std_logic;
18
                                                          cp2en           : in  std_logic;
19
                              ireset          : in  std_logic;
20
 
21
                              bit_num_r_io    : in  std_logic_vector(2 downto 0); -- BIT NUMBER FOR CBI/SBI/BLD/BST/SBRS/SBRC/SBIC/SBIS INSTRUCTIONS
22
                              dbusin          : in  std_logic_vector(7 downto 0); -- SBI/CBI/SBIS/SBIC  IN
23
                              bitpr_io_out    : out std_logic_vector(7 downto 0); -- SBI/CBI OUT        
24
                              sreg_out        : in  std_logic_vector(7 downto 0); -- BRBS/BRBC/BLD IN 
25
                              branch          : in  std_logic_vector(2 downto 0); -- NUMBER (0..7) OF BRANCH CONDITION FOR BRBS/BRBC INSTRUCTION
26
                              bit_pr_sreg_out : out std_logic_vector(7 downto 0); -- BCLR/BSET/BST(T-FLAG ONLY)             
27
                              bld_op_out      : out std_logic_vector(7 downto 0); -- BLD OUT (T FLAG)
28
                              reg_rd_out      : in  std_logic_vector(7 downto 0); -- BST/SBRS/SBRC IN    
29
                              bit_test_op_out : out std_logic;                    -- OUTPUT OF SBIC/SBIS/SBRS/SBRC/BRBC/BRBS
30
                              -- Instructions and states
31
                              sbi_st          : in  std_logic;
32
                              cbi_st          : in  std_logic;
33
                              idc_bst         : in  std_logic;
34
                              idc_bset        : in  std_logic;
35
                              idc_bclr        : in  std_logic;
36
                              idc_sbic        : in  std_logic;
37
                              idc_sbis        : in  std_logic;
38
                              idc_sbrs        : in  std_logic;
39
                              idc_sbrc        : in  std_logic;
40
                              idc_brbs        : in  std_logic;
41
                              idc_brbc        : in  std_logic;
42
                              idc_reti        : in  std_logic
43
                                                          );
44
 
45
end bit_processor_cm2;
46
 
47
architecture RTL of bit_processor_cm2 is
48
 
49
signal sreg_t_flag     : std_logic;                      --  FOR  BLD INSTRUCTION
50
 
51
signal temp_in_data    : std_logic_vector(7 downto 0);
52
signal sreg_t_temp     : std_logic_vector(7 downto 0);
53
signal bit_num_decode   : std_logic_vector(7 downto 0);
54
signal bit_pr_sreg_out_int : std_logic_vector(7 downto 0);
55
 
56
-- SBIS/SBIC/SBRS/SBRC SIGNALS
57
signal bit_test_in      : std_logic_vector(7 downto 0);
58
signal bit_test_mux_out : std_logic_vector(7 downto 0);
59
 
60
-- BRBS/BRBC SIGNALS
61
signal branch_decode    : std_logic_vector(7 downto 0);
62
signal branch_mux       : std_logic_vector(7 downto 0);
63
 
64
signal bld_op_out_cml_out :  std_logic_vector ( 7 downto 0 );
65
signal bit_num_r_io_cml_1 :  std_logic_vector ( 2 downto 0 );
66
signal sreg_out_cml_1 :  std_logic_vector ( 7 downto 0 );
67
signal reg_rd_out_cml_1 :  std_logic_vector ( 7 downto 0 );
68
signal temp_in_data_cml_1 :  std_logic_vector ( 7 downto 0 );
69
signal bit_num_decode_cml_1 :  std_logic_vector ( 7 downto 0 );
70
 
71
begin
72
 
73
 
74
 
75
process(cp2_cml_1) begin
76
if (cp2_cml_1 = '1' and cp2_cml_1'event) then
77
        bit_num_r_io_cml_1 <= bit_num_r_io;
78
        sreg_out_cml_1 <= sreg_out;
79
        reg_rd_out_cml_1 <= reg_rd_out;
80
        temp_in_data_cml_1 <= temp_in_data;
81
        bit_num_decode_cml_1 <= bit_num_decode;
82
end if;
83
end process;
84
bld_op_out <= bld_op_out_cml_out;
85
 
86
 
87
-- SynEDA CoreMultiplier
88
-- assignment(s): sreg_t_flag
89
-- replace(s): sreg_out
90
 
91
sreg_t_flag <= sreg_out_cml_1(6);
92
 
93
 
94
-- SynEDA CoreMultiplier
95
-- assignment(s): temp_in_data
96
-- replace(s): temp_in_data
97
 
98
-- SBI/CBI STORE REGISTER
99
sbi_cbi:process(cp2,ireset)
100
begin
101
if ireset='0' then
102
temp_in_data <= (others =>'0');
103
elsif (cp2='1' and cp2'event) then temp_in_data <= temp_in_data_cml_1;
104
 if (cp2en='1') then                                                      -- Clock enable
105
  temp_in_data <= dbusin;
106
 end if;
107
end if;
108
end process;
109
 
110
sbi_cbi_logic:for i in dbusin'range generate
111
bitpr_io_out(i) <= '1' when (sbi_st='1' and bit_num_decode(i)='1') else  -- SBI
112
                                   '0' when (cbi_st='1' and bit_num_decode(i)='1') else   -- CBI
113
                                   temp_in_data(i);                                                                          -- ???
114
end generate;
115
 
116
 
117
-- ########################################################################################
118
 
119
-- BST PART (LOAD T BIT OF SREG FROM THE GENERAL PURPOSE REGISTER)
120
bit_num_decode_logic:for i in bit_num_decode'range generate
121
bit_num_decode(i) <= '1' when (i=bit_num_r_io) else '0';
122
end generate;
123
 
124
sreg_t_temp(0) <= reg_rd_out_cml_1(0) when bit_num_decode_cml_1(0)='1' else '0';
125
bld_logic:for i in 1 to 7 generate
126
-- SynEDA CoreMultiplier
127
-- assignment(s): sreg_t_temp
128
-- replace(s): reg_rd_out, bit_num_decode
129
 
130
sreg_t_temp(i)<= reg_rd_out_cml_1(i) when bit_num_decode_cml_1(i)='1' else sreg_t_temp(i-1);
131
end generate;
132
 
133
-- BLD LOGIC
134
bld_inst:for i in reg_rd_out'range generate
135
-- SynEDA CoreMultiplier
136
-- assignment(s): bld_op_out
137
-- replace(s): bit_num_r_io, reg_rd_out
138
 
139
bld_op_out_cml_out(i) <= sreg_t_flag when (i=bit_num_r_io_cml_1) else reg_rd_out_cml_1(i);
140
end generate;
141
 
142
 
143
-- ########################################################################################
144
 
145
-- BCLR/BSET/BST/RETI LOGIC
146
bclr_bset_logic:for i in 0 to 6 generate
147
bit_pr_sreg_out_int(i) <= (idc_bset and not reg_rd_out_cml_1(i)) or (not idc_bclr and reg_rd_out_cml_1(i));
148
end generate;
149
-- SynEDA CoreMultiplier
150
-- assignment(s): bit_pr_sreg_out_int
151
-- replace(s): reg_rd_out
152
 
153
-- SREG REGISTER BIT 7 - INTERRUPT ENABLE FLAG
154
bit_pr_sreg_out_int(7) <= (idc_bset and not reg_rd_out_cml_1(7)) or (not idc_bclr and reg_rd_out_cml_1(7)) or idc_reti;
155
 
156
bit_pr_sreg_out <= bit_pr_sreg_out_int(7)&sreg_t_temp(7)&bit_pr_sreg_out_int(5 downto 0) when (idc_bst='1')
157
                                                                                   else bit_pr_sreg_out_int;
158
 
159
-- SynEDA CoreMultiplier
160
-- assignment(s): bit_test_in
161
-- replace(s): reg_rd_out
162
 
163
-- SBIC/SBIS/SBRS/SBRC LOGIC
164
bit_test_in <= dbusin when (idc_sbis='1' or idc_sbic='1') else reg_rd_out_cml_1;
165
 
166
bit_test_mux_out(0) <= bit_test_in(0) when bit_num_decode_cml_1(0)='1' else '0';
167
it_test_mux:for i in 1 to 7 generate
168
-- SynEDA CoreMultiplier
169
-- assignment(s): bit_test_mux_out
170
-- replace(s): bit_num_decode
171
 
172
bit_test_mux_out(i)<= bit_test_in(i) when bit_num_decode_cml_1(i)='1' else bit_test_mux_out(i-1);
173
end generate;
174
 
175
bit_test_op_out <= (bit_test_mux_out(7) and (idc_sbis or idc_sbrs)) or
176
                   (not bit_test_mux_out(7) and (idc_sbic or idc_sbrc)) or
177
                   (branch_mux(7) and idc_brbs) or
178
                   (not branch_mux(7) and idc_brbc);
179
 
180
-- BRBS/BRBC LOGIC
181
 
182
branch_decode_logic:for i in branch_decode'range generate
183
branch_decode(i) <= '1' when (i=branch) else '0';
184
end generate;
185
 
186
branch_mux(0) <= sreg_out_cml_1(0) when branch_decode(0)='1' else '0';
187
branch_mux_logic:for i in 1 to 7 generate
188
-- SynEDA CoreMultiplier
189
-- assignment(s): branch_mux
190
-- replace(s): sreg_out
191
 
192
branch_mux(i)<= sreg_out_cml_1(i) when branch_decode(i)='1' else branch_mux(i-1);
193
end generate;
194
 
195
 
196
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.