OpenCores
URL https://opencores.org/ocsvn/avuc/avuc/trunk

Subversion Repositories avuc

[/] [avuc/] [trunk/] [avuc_pkg.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 fblanco
---------------------------------------------------------------------------------------
2
-- Copyright 2008 by Fernando Blanco <ferblanco@anagramix.com>
3
-- Description: Package for AVUC
4
---------------------------------------------------------------------------------------
5
 
6
library ieee;
7
use ieee.std_logic_1164.all;
8
 
9
package avuc_pkg is
10
 
11
    -- Possible states for avuc:
12
    constant AVUC_STATE_RUNNING  : std_logic := '0';
13
    constant AVUC_STATE_STOPPED  : std_logic := '1';
14
 
15
end package avuc_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.