OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [axi4-stream-bfm-master.vhdl] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 daniel.kho
/*
2
        This file is part of the AXI4 Transactor and Bus Functional Model
3
        (axi4_tlm_bfm) project:
4
                http://www.opencores.org/project,axi4_tlm_bfm
5
 
6
        Description
7
        Implementation of AXI4 Master BFM core according to AXI4 protocol
8
        specification document.
9
 
10
        To Do: Implement AXI4-Lite and full AXI4 protocols.
11
 
12
        Author(s):
13
        - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
14
 
15
        Copyright (C) 2012-2013 Authors and OPENCORES.ORG
16
 
17
        This source file may be used and distributed without
18
        restriction provided that this copyright statement is not
19
        removed from the file and that any derivative work contains
20
        the original copyright notice and the associated disclaimer.
21
 
22
        This source file is free software; you can redistribute it
23
        and/or modify it under the terms of the GNU Lesser General
24
        Public License as published by the Free Software Foundation;
25
        either version 2.1 of the License, or (at your option) any
26
        later version.
27
 
28
        This source is distributed in the hope that it will be
29
        useful, but WITHOUT ANY WARRANTY; without even the implied
30
        warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
31
        PURPOSE. See the GNU Lesser General Public License for more
32
        details.
33
 
34
        You should have received a copy of the GNU Lesser General
35
        Public License along with this source; if not, download it
36
        from http://www.opencores.org/lgpl.shtml.
37
*/
38
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
39 12 daniel.kho
library tauhop; use tauhop.axiTransactor.all;
40 7 daniel.kho
 
41
--/* TODO remove once generic packages are supported. */
42
--library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
43
 
44
entity axiBfmMaster is --generic(constant maxTransactions:positive);
45
        port(aclk,n_areset:in std_ulogic;
46
                /* BFM signalling. */
47 15 daniel.kho
                readRequest,writeRequest:in i_transactor.t_bfm:=(address=>(others=>'X'), message=>(others=>'X'), trigger=>false);
48 12 daniel.kho
                readResponse,writeResponse:buffer i_transactor.t_bfm;                                                                   -- use buffer until synthesis tools support reading from out ports.
49 7 daniel.kho
 
50
                /* AXI Master interface */
51
                axiMaster_in:in t_axi4StreamTransactor_s2m;
52
                axiMaster_out:buffer t_axi4StreamTransactor_m2s;
53
 
54
--              /* AXI Slave interface */
55
--              axiSlave_in:in tAxi4Transactor_m2s;
56
--              axiSlave_out:buffer tAxi4Transactor_s2m;
57
 
58 12 daniel.kho
                symbolsPerTransfer:in i_transactor.t_cnt;
59
                outstandingTransactions:buffer i_transactor.t_cnt
60 7 daniel.kho
 
61
                /* Debug ports. */
62 8 daniel.kho
--              dbg_cnt:out unsigned(9 downto 0);
63
--              dbg_axiRxFsm:out axiBfmStatesRx:=idle;
64
--              dbg_axiTxFsm:out axiBfmStatesTx:=idle
65 7 daniel.kho
        );
66
end entity axiBfmMaster;
67
 
68
architecture rtl of axiBfmMaster is
69
        /* Finite-state Machines. */
70
        signal axiTxState,next_axiTxState:axiBfmStatesTx:=idle;
71
 
72 13 daniel.kho
        signal i_axiMaster_out:t_axi4StreamTransactor_m2s;
73
 
74 7 daniel.kho
        /* BFM signalling. */
75 15 daniel.kho
        signal i_readRequest,i_writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
76 12 daniel.kho
        signal i_readResponse,i_writeResponse:i_transactor.t_bfm;
77 7 daniel.kho
 
78
begin
79
        /* Transaction counter. */
80 9 daniel.kho
        process(n_areset,symbolsPerTransfer,aclk) is begin
81 7 daniel.kho
                if not n_areset then outstandingTransactions<=symbolsPerTransfer;
82 10 daniel.kho
                elsif falling_edge(aclk) then
83
                        if outstandingTransactions<1 then
84 7 daniel.kho
                                outstandingTransactions<=symbolsPerTransfer;
85
                                report "No more pending transactions." severity note;
86 10 daniel.kho
                        elsif axiMaster_in.tReady then outstandingTransactions<=outstandingTransactions-1;
87 7 daniel.kho
                        end if;
88
                end if;
89
        end process;
90
 
91
        /* next-state logic for AXI4-Stream Master Tx BFM. */
92
        axi_bfmTx_ns: process(all) is begin
93
                axiTxState<=next_axiTxState;
94
 
95 13 daniel.kho
                if not n_areset then axiTxState<=idle;
96
                else
97
                        case next_axiTxState is
98
                                when idle=>
99
                                        if writeRequest.trigger xor i_writeRequest.trigger then axiTxState<=payload; end if;
100
                                when payload=>
101
                                        if outstandingTransactions<1 then axiTxState<=endOfTx; end if;
102
                                when endOfTx=>
103
                                        axiTxState<=idle;
104
                                when others=>axiTxState<=idle;
105
                        end case;
106
                end if;
107 7 daniel.kho
        end process axi_bfmTx_ns;
108
 
109
        /* output logic for AXI4-Stream Master Tx BFM. */
110
        axi_bfmTx_op: process(all) is begin
111 10 daniel.kho
                i_writeResponse<=writeResponse;
112 7 daniel.kho
 
113 13 daniel.kho
                i_axiMaster_out.tValid<=false;
114
                i_axiMaster_out.tLast<=false;
115
                i_axiMaster_out.tData<=(others=>'Z');
116 10 daniel.kho
                i_writeResponse.trigger<=false;
117
 
118 13 daniel.kho
                if writeRequest.trigger xor i_writeRequest.trigger then
119
                        i_axiMaster_out.tData<=writeRequest.message;
120
                        i_axiMaster_out.tValid<=true;
121
                end if;
122
 
123 7 daniel.kho
                case next_axiTxState is
124 15 daniel.kho
                        when idle=> null;
125 7 daniel.kho
                        when payload=>
126 13 daniel.kho
                                i_axiMaster_out.tData<=writeRequest.message;
127
                                i_axiMaster_out.tValid<=true;
128 10 daniel.kho
 
129 7 daniel.kho
                                if axiMaster_in.tReady then
130 10 daniel.kho
                                        i_writeResponse.trigger<=true;
131 7 daniel.kho
                                end if;
132 10 daniel.kho
 
133
                                /* TODO change to a flag at user.vhdl. Move outstandingTransactions to user.vhdl. */
134 13 daniel.kho
                                if outstandingTransactions<1 then i_axiMaster_out.tLast<=true; end if;
135 10 daniel.kho
                        when others=> null;
136 7 daniel.kho
                end case;
137
        end process axi_bfmTx_op;
138
 
139 13 daniel.kho
        axiMaster_out<=i_axiMaster_out;
140 7 daniel.kho
 
141
        /* state registers and pipelines for AXI4-Stream Tx BFM. */
142
        process(n_areset,aclk) is begin
143 13 daniel.kho
                if falling_edge(aclk) then
144 7 daniel.kho
                        next_axiTxState<=axiTxState;
145
                        i_writeRequest<=writeRequest;
146 13 daniel.kho
                        --axiMaster_out<=i_axiMaster_out;
147 7 daniel.kho
                end if;
148
        end process;
149
 
150 10 daniel.kho
        process(aclk) is begin
151
                if rising_edge(aclk) then
152
                        writeResponse<=i_writeResponse;
153
                end if;
154
        end process;
155 9 daniel.kho
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.