OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [axi4-stream-bfm-master.vhdl] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 daniel.kho
/*
2
        This file is part of the AXI4 Transactor and Bus Functional Model
3
        (axi4_tlm_bfm) project:
4
                http://www.opencores.org/project,axi4_tlm_bfm
5
 
6
        Description
7
        Implementation of AXI4 Master BFM core according to AXI4 protocol
8
        specification document.
9
 
10
        To Do: Implement AXI4-Lite and full AXI4 protocols.
11
 
12
        Author(s):
13
        - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
14
 
15
        Copyright (C) 2012-2013 Authors and OPENCORES.ORG
16
 
17
        This source file may be used and distributed without
18
        restriction provided that this copyright statement is not
19
        removed from the file and that any derivative work contains
20
        the original copyright notice and the associated disclaimer.
21
 
22
        This source file is free software; you can redistribute it
23
        and/or modify it under the terms of the GNU Lesser General
24
        Public License as published by the Free Software Foundation;
25
        either version 2.1 of the License, or (at your option) any
26
        later version.
27
 
28
        This source is distributed in the hope that it will be
29
        useful, but WITHOUT ANY WARRANTY; without even the implied
30
        warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
31
        PURPOSE. See the GNU Lesser General Public License for more
32
        details.
33
 
34
        You should have received a copy of the GNU Lesser General
35
        Public License along with this source; if not, download it
36
        from http://www.opencores.org/lgpl.shtml.
37
*/
38
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
39 12 daniel.kho
library tauhop; use tauhop.axiTransactor.all;
40 7 daniel.kho
 
41
--/* TODO remove once generic packages are supported. */
42
--library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
43
 
44
entity axiBfmMaster is --generic(constant maxTransactions:positive);
45
        port(aclk,n_areset:in std_ulogic;
46
                /* BFM signalling. */
47 15 daniel.kho
                readRequest,writeRequest:in i_transactor.t_bfm:=(address=>(others=>'X'), message=>(others=>'X'), trigger=>false);
48 12 daniel.kho
                readResponse,writeResponse:buffer i_transactor.t_bfm;                                                                   -- use buffer until synthesis tools support reading from out ports.
49 7 daniel.kho
 
50
                /* AXI Master interface */
51
                axiMaster_in:in t_axi4StreamTransactor_s2m;
52
                axiMaster_out:buffer t_axi4StreamTransactor_m2s;
53
 
54
--              /* AXI Slave interface */
55
--              axiSlave_in:in tAxi4Transactor_m2s;
56
--              axiSlave_out:buffer tAxi4Transactor_s2m;
57
 
58 12 daniel.kho
                symbolsPerTransfer:in i_transactor.t_cnt;
59 16 daniel.kho
                outstandingTransactions:in i_transactor.t_cnt
60 7 daniel.kho
 
61
                /* Debug ports. */
62 8 daniel.kho
--              dbg_cnt:out unsigned(9 downto 0);
63
--              dbg_axiRxFsm:out axiBfmStatesRx:=idle;
64
--              dbg_axiTxFsm:out axiBfmStatesTx:=idle
65 7 daniel.kho
        );
66
end entity axiBfmMaster;
67
 
68
architecture rtl of axiBfmMaster is
69
        /* Finite-state Machines. */
70
        signal axiTxState,next_axiTxState:axiBfmStatesTx:=idle;
71
 
72 13 daniel.kho
        signal i_axiMaster_out:t_axi4StreamTransactor_m2s;
73
 
74 7 daniel.kho
        /* BFM signalling. */
75 15 daniel.kho
        signal i_readRequest,i_writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
76 12 daniel.kho
        signal i_readResponse,i_writeResponse:i_transactor.t_bfm;
77 7 daniel.kho
 
78
begin
79
        /* next-state logic for AXI4-Stream Master Tx BFM. */
80
        axi_bfmTx_ns: process(all) is begin
81
                axiTxState<=next_axiTxState;
82
 
83 13 daniel.kho
                if not n_areset then axiTxState<=idle;
84
                else
85
                        case next_axiTxState is
86
                                when idle=>
87
                                        if writeRequest.trigger xor i_writeRequest.trigger then axiTxState<=payload; end if;
88
                                when payload=>
89
                                        if outstandingTransactions<1 then axiTxState<=endOfTx; end if;
90
                                when endOfTx=>
91
                                        axiTxState<=idle;
92
                                when others=>axiTxState<=idle;
93
                        end case;
94
                end if;
95 7 daniel.kho
        end process axi_bfmTx_ns;
96
 
97
        /* output logic for AXI4-Stream Master Tx BFM. */
98
        axi_bfmTx_op: process(all) is begin
99 10 daniel.kho
                i_writeResponse<=writeResponse;
100 7 daniel.kho
 
101 13 daniel.kho
                i_axiMaster_out.tValid<=false;
102
                i_axiMaster_out.tLast<=false;
103
                i_axiMaster_out.tData<=(others=>'Z');
104 10 daniel.kho
                i_writeResponse.trigger<=false;
105
 
106 13 daniel.kho
                if writeRequest.trigger xor i_writeRequest.trigger then
107
                        i_axiMaster_out.tData<=writeRequest.message;
108
                        i_axiMaster_out.tValid<=true;
109
                end if;
110
 
111 7 daniel.kho
                case next_axiTxState is
112 15 daniel.kho
                        when idle=> null;
113 7 daniel.kho
                        when payload=>
114 13 daniel.kho
                                i_axiMaster_out.tData<=writeRequest.message;
115
                                i_axiMaster_out.tValid<=true;
116 10 daniel.kho
 
117 7 daniel.kho
                                if axiMaster_in.tReady then
118 10 daniel.kho
                                        i_writeResponse.trigger<=true;
119 7 daniel.kho
                                end if;
120 10 daniel.kho
 
121 13 daniel.kho
                                if outstandingTransactions<1 then i_axiMaster_out.tLast<=true; end if;
122 10 daniel.kho
                        when others=> null;
123 7 daniel.kho
                end case;
124
        end process axi_bfmTx_op;
125
 
126 13 daniel.kho
        axiMaster_out<=i_axiMaster_out;
127 7 daniel.kho
 
128
        /* state registers and pipelines for AXI4-Stream Tx BFM. */
129
        process(n_areset,aclk) is begin
130 13 daniel.kho
                if falling_edge(aclk) then
131 7 daniel.kho
                        next_axiTxState<=axiTxState;
132
                        i_writeRequest<=writeRequest;
133 13 daniel.kho
                        --axiMaster_out<=i_axiMaster_out;
134 7 daniel.kho
                end if;
135
        end process;
136
 
137 10 daniel.kho
        process(aclk) is begin
138
                if rising_edge(aclk) then
139
                        writeResponse<=i_writeResponse;
140
                end if;
141
        end process;
142 9 daniel.kho
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.