OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] [pll.vhd] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 daniel.kho
-- megafunction wizard: %ALTPLL%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altpll 
5
 
6
-- ============================================================
7
-- File Name: pll.vhd
8
-- Megafunction Name(s):
9
--                      altpll
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 12.1 Build 177 11/07/2012 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2012 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY pll IS
43
        PORT
44
        (
45
                areset          : IN STD_LOGIC  := '0';
46
                inclk0          : IN STD_LOGIC  := '0';
47
                c0              : OUT STD_LOGIC ;
48
                locked          : OUT STD_LOGIC
49
        );
50
END pll;
51
 
52
 
53
ARCHITECTURE SYN OF pll IS
54
 
55
        SIGNAL sub_wire0        : STD_LOGIC ;
56
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (4 DOWNTO 0);
57
        SIGNAL sub_wire2        : STD_LOGIC ;
58
        SIGNAL sub_wire3        : STD_LOGIC ;
59
        SIGNAL sub_wire4        : STD_LOGIC_VECTOR (1 DOWNTO 0);
60
        SIGNAL sub_wire5_bv     : BIT_VECTOR (0 DOWNTO 0);
61
        SIGNAL sub_wire5        : STD_LOGIC_VECTOR (0 DOWNTO 0);
62
 
63
 
64
 
65
        COMPONENT altpll
66
        GENERIC (
67
                bandwidth_type          : STRING;
68
                clk0_divide_by          : NATURAL;
69
                clk0_duty_cycle         : NATURAL;
70
                clk0_multiply_by                : NATURAL;
71
                clk0_phase_shift                : STRING;
72
                compensate_clock                : STRING;
73
                inclk0_input_frequency          : NATURAL;
74
                intended_device_family          : STRING;
75
                lpm_hint                : STRING;
76
                lpm_type                : STRING;
77
                operation_mode          : STRING;
78
                pll_type                : STRING;
79
                port_activeclock                : STRING;
80
                port_areset             : STRING;
81
                port_clkbad0            : STRING;
82
                port_clkbad1            : STRING;
83
                port_clkloss            : STRING;
84
                port_clkswitch          : STRING;
85
                port_configupdate               : STRING;
86
                port_fbin               : STRING;
87
                port_inclk0             : STRING;
88
                port_inclk1             : STRING;
89
                port_locked             : STRING;
90
                port_pfdena             : STRING;
91
                port_phasecounterselect         : STRING;
92
                port_phasedone          : STRING;
93
                port_phasestep          : STRING;
94
                port_phaseupdown                : STRING;
95
                port_pllena             : STRING;
96
                port_scanaclr           : STRING;
97
                port_scanclk            : STRING;
98
                port_scanclkena         : STRING;
99
                port_scandata           : STRING;
100
                port_scandataout                : STRING;
101
                port_scandone           : STRING;
102
                port_scanread           : STRING;
103
                port_scanwrite          : STRING;
104
                port_clk0               : STRING;
105
                port_clk1               : STRING;
106
                port_clk2               : STRING;
107
                port_clk3               : STRING;
108
                port_clk4               : STRING;
109
                port_clk5               : STRING;
110
                port_clkena0            : STRING;
111
                port_clkena1            : STRING;
112
                port_clkena2            : STRING;
113
                port_clkena3            : STRING;
114
                port_clkena4            : STRING;
115
                port_clkena5            : STRING;
116
                port_extclk0            : STRING;
117
                port_extclk1            : STRING;
118
                port_extclk2            : STRING;
119
                port_extclk3            : STRING;
120
                self_reset_on_loss_lock         : STRING;
121
                width_clock             : NATURAL
122
        );
123
        PORT (
124
                        areset  : IN STD_LOGIC ;
125
                        clk     : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
126
                        inclk   : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
127
                        locked  : OUT STD_LOGIC
128
        );
129
        END COMPONENT;
130
 
131
BEGIN
132
        sub_wire5_bv(0 DOWNTO 0) <= "0";
133
        sub_wire5    <= To_stdlogicvector(sub_wire5_bv);
134
        locked    <= sub_wire0;
135
        sub_wire2    <= sub_wire1(0);
136
        c0    <= sub_wire2;
137
        sub_wire3    <= inclk0;
138
        sub_wire4    <= sub_wire5(0 DOWNTO 0) & sub_wire3;
139
 
140
        altpll_component : altpll
141
        GENERIC MAP (
142
                bandwidth_type => "AUTO",
143
                clk0_divide_by => 1,
144
                clk0_duty_cycle => 50,
145
                clk0_multiply_by => 2,
146
                clk0_phase_shift => "0",
147
                compensate_clock => "CLK0",
148
                inclk0_input_frequency => 20000,
149
                intended_device_family => "Cyclone III",
150
                lpm_hint => "CBX_MODULE_PREFIX=pll",
151
                lpm_type => "altpll",
152
                operation_mode => "NORMAL",
153
                pll_type => "AUTO",
154
                port_activeclock => "PORT_UNUSED",
155
                port_areset => "PORT_USED",
156
                port_clkbad0 => "PORT_UNUSED",
157
                port_clkbad1 => "PORT_UNUSED",
158
                port_clkloss => "PORT_UNUSED",
159
                port_clkswitch => "PORT_UNUSED",
160
                port_configupdate => "PORT_UNUSED",
161
                port_fbin => "PORT_UNUSED",
162
                port_inclk0 => "PORT_USED",
163
                port_inclk1 => "PORT_UNUSED",
164
                port_locked => "PORT_USED",
165
                port_pfdena => "PORT_UNUSED",
166
                port_phasecounterselect => "PORT_UNUSED",
167
                port_phasedone => "PORT_UNUSED",
168
                port_phasestep => "PORT_UNUSED",
169
                port_phaseupdown => "PORT_UNUSED",
170
                port_pllena => "PORT_UNUSED",
171
                port_scanaclr => "PORT_UNUSED",
172
                port_scanclk => "PORT_UNUSED",
173
                port_scanclkena => "PORT_UNUSED",
174
                port_scandata => "PORT_UNUSED",
175
                port_scandataout => "PORT_UNUSED",
176
                port_scandone => "PORT_UNUSED",
177
                port_scanread => "PORT_UNUSED",
178
                port_scanwrite => "PORT_UNUSED",
179
                port_clk0 => "PORT_USED",
180
                port_clk1 => "PORT_UNUSED",
181
                port_clk2 => "PORT_UNUSED",
182
                port_clk3 => "PORT_UNUSED",
183
                port_clk4 => "PORT_UNUSED",
184
                port_clk5 => "PORT_UNUSED",
185
                port_clkena0 => "PORT_UNUSED",
186
                port_clkena1 => "PORT_UNUSED",
187
                port_clkena2 => "PORT_UNUSED",
188
                port_clkena3 => "PORT_UNUSED",
189
                port_clkena4 => "PORT_UNUSED",
190
                port_clkena5 => "PORT_UNUSED",
191
                port_extclk0 => "PORT_UNUSED",
192
                port_extclk1 => "PORT_UNUSED",
193
                port_extclk2 => "PORT_UNUSED",
194
                port_extclk3 => "PORT_UNUSED",
195
                self_reset_on_loss_lock => "OFF",
196
                width_clock => 5
197
        )
198
        PORT MAP (
199
                areset => areset,
200
                inclk => sub_wire4,
201
                locked => sub_wire0,
202
                clk => sub_wire1
203
        );
204
 
205
 
206
 
207
END SYN;
208
 
209
-- ============================================================
210
-- CNX file retrieval info
211
-- ============================================================
212
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
213
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
214
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
215
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
216
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
217
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
218
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
219
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
220
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
221
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
222
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
223
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
224
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
225
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
226
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
227
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
228
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
229
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
230
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000"
231
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
232
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
233
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
234
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
235
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
236
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
237
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
238
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
239
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
240
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
241
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
242
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
243
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
244
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
245
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
246
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
247
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
248
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
249
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
250
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
251
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
252
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
253
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2"
254
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
255
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
256
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
257
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
258
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
259
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
260
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
261
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
262
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
263
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
264
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
265
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
266
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
267
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
268
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
269
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
270
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
271
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
272
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
273
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
274
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
275
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
276
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
277
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
278
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
279
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
280
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
281
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
282
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
283
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
284
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
285
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
286
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
287
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
288
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
289
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
290
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
291
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
292
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
293
-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
294
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
295
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
296
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
297
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
298
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
299
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
300
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
301
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
302
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
303
-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
304
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
305
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
306
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
307
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
308
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
309
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
310
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
311
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
312
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
313
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
314
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
315
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
316
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
317
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
318
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
319
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
320
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
321
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
322
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
323
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
324
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
325
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
326
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
327
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
328
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
329
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
330
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
331
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
332
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
333
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
334
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
335
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
336
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
337
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
338
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
339
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
340
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
341
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
342
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
343
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
344
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
345
-- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
346
-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
347
-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
348
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
349
-- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
350
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
351
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
352
-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
353
-- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
354
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
355
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
356
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
357
-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
358
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE
359
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
360
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
361
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE
362
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE
363
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE
364
-- Retrieval info: LIB_FILE: altera_mf
365
-- Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.