OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [synthesis/] [quartus/] [axi4-tlm.qsf] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 daniel.kho
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2012 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 32-bit
20
# Version 12.1 Build 177 11/07/2012 SJ Full Version
21
# Date created = 23:27:13  September 06, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               axi4-tlm_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV E"
40 37 daniel.kho
set_global_assignment -name DEVICE EP4CE115F29C7
41 30 daniel.kho
set_global_assignment -name TOP_LEVEL_ENTITY "user"
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:27:13  SEPTEMBER 06, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION 12.1
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
50
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
51
set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008
52
set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
53
 
54 44 daniel.kho
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to reset
55
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to clk
56
 
57
# DE2-115
58 42 daniel.kho
set_location_assignment PIN_M23 -to nReset
59 37 daniel.kho
set_location_assignment PIN_Y2 -to clk
60 30 daniel.kho
 
61 42 daniel.kho
set_global_assignment -name PARTITION_NETLIST_TYPE POST_FIT -section_id Top
62
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
63
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
64 30 daniel.kho
 
65 44 daniel.kho
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-tauhop-types.vhdl"
66
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-fsm.vhdl"
67 30 daniel.kho
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-tlm.vhdl"
68
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-axi-tlm.vhdl"
69 44 daniel.kho
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/ddr.vhdl"
70 30 daniel.kho
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/axi4-stream-bfm-master.vhdl"
71
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pll.vhd"
72
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/stp.vhd"
73
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/prbs-31.vhdl"
74
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/galois-lfsr.vhdl"
75
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/tester.vhdl"
76 42 daniel.kho
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/tester-cdcrv.vhdl"
77
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/user.vhdl"
78 30 daniel.kho
#set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/user-hw-tlm-paper.vhdl"
79
 
80 37 daniel.kho
 
81 42 daniel.kho
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.