OpenCores
URL https://opencores.org/ocsvn/axi_vga_fb/axi_vga_fb/trunk

Subversion Repositories axi_vga_fb

[/] [axi_vga_fb/] [trunk/] [vga_rgb565.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ultro
///////////////////////////////////
2
// AXI to VGA frame buffer
3
// fixed 640x200x16bpp RGB565 , 256kByte internal vram ressource
4
// (c) Valptek 2017
5
///////////////////////////////////
6
 
7
 
8
module vga(
9
    input s00_AXI_RSTN,
10
    input s00_AXI_CLK,
11
    output [3:0] r4,g4,b4,
12
    output hz,vt,
13
    // axi bus
14
    input  [31:0] s00_AXI_AWADDR, s00_AXI_ARADDR,
15
    input         s00_AXI_AWVALID,s00_AXI_ARVALID,s00_AXI_WVALID,s00_AXI_RREADY,s00_AXI_WLAST,
16
    output reg    s00_AXI_AWREADY,s00_AXI_ARREADY,s00_AXI_WREADY,s00_AXI_RVALID,s00_AXI_RLAST,
17
    output reg [31:0] s00_AXI_RDATA,
18
    input  [31:0] s00_AXI_WDATA,
19
    input   [3:0] s00_AXI_WSTRB,
20
    input   [1:0] s00_AXI_ARBURST,
21
    input   [7:0] s00_AXI_ARLEN,
22
    input   [2:0] s00_AXI_ARSIZE,
23
    input   [1:0] s00_AXI_AWBURST,
24
    input   [7:0] s00_AXI_AWLEN,
25
    input   [2:0] s00_AXI_AWSIZE,
26
    input         s00_AXI_BREADY,
27
    output        s00_AXI_BVALID
28
    );
29
 
30
// video ram
31
reg  [7:0] vmem1 [0:(640*200/2)-1];
32
reg  [7:0] vmem2 [0:(640*200/2)-1];
33
reg  [7:0] vmem3 [0:(640*200/2)-1];
34
reg  [7:0] vmem4 [0:(640*200/2)-1];
35
 
36
reg  [7:0] Qreg1,Qreg2,Qreg3,Qreg4;
37
reg [15:0] wadd,radd,vadd,gadd;
38
reg  [1:0] vbits;
39
reg        wreq1,wreq2,wreq3,wreq4;
40
 
41
reg [15:0] fifo;
42
reg [31:0] wdat;
43
reg [1:0] gclk;
44
reg [9:0] counX, counY;
45
wire [9:0] nextcounX;
46
reg hSync, vSync;
47
reg rd_rq,wr_rq,wr_gnt,rd_gnt;
48
reg [3:0] wr_strb;
49
 
50
 
51
always @(posedge s00_AXI_CLK) Qreg1 <= vmem1[vadd];
52
always @(posedge s00_AXI_CLK) Qreg2 <= vmem2[vadd];
53
always @(posedge s00_AXI_CLK) Qreg3 <= vmem3[vadd];
54
always @(posedge s00_AXI_CLK) Qreg4 <= vmem4[vadd];
55
 
56
 
57
always @(posedge s00_AXI_CLK) if (wreq1) vmem1[vadd] <= wdat[31:24];
58
always @(posedge s00_AXI_CLK) if (wreq2) vmem2[vadd] <= wdat[23:16];
59
always @(posedge s00_AXI_CLK) if (wreq3) vmem3[vadd] <= wdat[15:8];
60
always @(posedge s00_AXI_CLK) if (wreq4) vmem4[vadd] <= wdat[7:0];
61
 
62
//
63
// Internal BUS :  write generate & decode + round robin for video display
64
//
65
always @(posedge s00_AXI_CLK or negedge s00_AXI_RSTN)
66
if (s00_AXI_RSTN == 0)
67
 begin
68
  s00_AXI_AWREADY <= 0;
69
  s00_AXI_ARREADY <= 0;
70
  s00_AXI_WREADY  <= 0;
71
  s00_AXI_RVALID  <= 0;
72
  s00_AXI_RLAST   <= 0;
73
  rd_rq           <= 0;
74
  wr_rq           <= 0;
75
 end
76
else
77
begin
78
   if ( ((s00_AXI_AWVALID == 1) && (s00_AXI_AWREADY ==0)) ) begin s00_AXI_AWREADY <=1; wadd <= s00_AXI_AWADDR[17:2]; end
79
 
80
   if ( ((s00_AXI_ARVALID == 1) && (s00_AXI_ARREADY ==0)) ) begin rd_rq <= 1; s00_AXI_ARREADY <=1; radd <= s00_AXI_ARADDR[17:2]; end else
81
                                                            begin
82
                                                             s00_AXI_ARREADY <=0;
83
                                                             s00_AXI_AWREADY <=0;
84
                                                             if (rd_gnt ==1)
85
                                                                 begin
86
                                                                   rd_rq <=0;
87
 
88
                                                                   s00_AXI_RVALID <= 1;
89
                                                                   s00_AXI_RLAST  <= 1;
90
                                                                 end
91
                                                                 else
92
                                                                  begin
93
                                                                   s00_AXI_RVALID <= 0;
94
                                                                   s00_AXI_RLAST  <= 1;
95
                                                                  end
96
                                                            end
97
 
98
   if  ( wr_gnt         == 1)                          begin s00_AXI_WREADY <=1; wr_rq <=0; wr_strb <= 0; end else
99
   if ((s00_AXI_WVALID  == 1) && (s00_AXI_WREADY ==0)) begin wr_rq <= 1; wr_strb <= s00_AXI_WSTRB; wdat <= s00_AXI_WDATA; end else
100
                                       s00_AXI_WREADY <=0;
101
 
102
 
103
end
104
 
105
 
106
// clock divider gap
107
always @(posedge s00_AXI_CLK) if (~s00_AXI_RSTN) gclk <=0; else gclk <= gclk + 1;
108
 
109
// vram read write control
110
always @(posedge s00_AXI_CLK)
111
 if (~s00_AXI_RSTN)
112
 begin
113
  gadd   <= 0;
114
  fifo   <= 0;
115
  rd_gnt <= 0;
116
  wr_gnt <= 0;
117
  vadd   <= 0;
118
  s00_AXI_RDATA <=0;
119
 end
120
 else
121
 begin
122
   if ((gclk == 0) && (wr_rq ==1)) begin wr_gnt <= 1; vadd <= wadd; {wreq1,wreq2,wreq3,wreq4} <= wr_strb; end else
123
   if ((gclk == 0) && (rd_rq ==1)) begin vadd <= radd; end else
124
   if ((gclk == 1) && (wr_rq ==1)) begin {wreq1,wreq2,wreq3,wreq4} <= 0; vadd <= gadd; end else
125
   if ((gclk == 1) && (rd_rq ==1)) begin rd_gnt <= 1; vadd <= gadd;  end else
126
   if  (gclk == 1)                 begin vadd <= gadd; end else
127
   if  (gclk == 2) begin wr_gnt <=0; rd_gnt <=0; s00_AXI_RDATA  <= {Qreg1,Qreg2,Qreg3,Qreg4}; end
128
 
129
  // update video address
130
   if  ((counY < 10'd400) && (nextcounX <10'd640)) gadd <=nextcounX[9:1]+{counY[9:1],8'b0}+{counY[9:1],6'b0};
131
   if  (gclk == 3) begin
132
                    if (nextcounX[0] == 1'b1) fifo <= {Qreg1,Qreg2}; else fifo <= {Qreg3,Qreg4};
133
                   end
134
 end
135
 
136
// raster  
137
always @(posedge s00_AXI_CLK) if (~s00_AXI_RSTN) counX <=0; else if (gclk ==3) begin if (counX==799) counX <=0; else counX <= nextcounX; end
138
always @(posedge s00_AXI_CLK) if (~s00_AXI_RSTN) counY <=0; else if((gclk ==3)&&(counX==799)) begin if (counY==524) counY <= 0; else counY <= counY + 1'b1; end
139
 
140
always @(posedge s00_AXI_CLK) if (~s00_AXI_RSTN) hSync <=0; else hSync <= (counX>=656) && (counX<752);
141
always @(posedge s00_AXI_CLK) if (~s00_AXI_RSTN) vSync <=0; else vSync <= (counY>=490) && (counY<492);
142
 
143
// dac
144
assign r4 = (counX>639) ? 0 : (counY>399) ? 0 :
145
            fifo[15:12];
146
 
147
assign g4 = (counX>639) ? 0 : (counY>399) ? 0 :
148
            fifo[10:7];
149
 
150
assign b4 = (counX>639) ? 0 : (counY>399) ? 0 :
151
            fifo[ 4: 1];
152
 
153
assign hz = ~hSync;
154
assign vt = vSync;
155
assign nextcounX = counX +1;
156
 
157
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.