OpenCores
URL https://opencores.org/ocsvn/bilinear_demosaic/bilinear_demosaic/trunk

Subversion Repositories bilinear_demosaic

[/] [bilinear_demosaic/] [trunk/] [sim/] [rtl_sim/] [work/] [@_opt/] [vopt0c5b7m] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tesla500
library verilog;
2
use verilog.vl_types.all;
3
entity registerDelay is
4
    generic(
5
        DATA_WIDTH      : integer := 8;
6
        STAGES          : integer := 1
7
    );
8
    port(
9
        clk             : in     vl_logic;
10
        rst             : in     vl_logic;
11
        enable          : in     vl_logic;
12
        d               : in     vl_logic_vector;
13
        q               : out    vl_logic_vector
14
    );
15
end registerDelay;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.