OpenCores
URL https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk

Subversion Repositories btc_dsha256

[/] [btc_dsha256/] [trunk/] [rtl/] [vhdl/] [TestBench/] [btc_dsha_TB.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 nuxi1209
------------------------------------------------------------------- 
2
--                                                               --
3
--  Copyright (C) 2013 Author and VariStream Studio              --
4
--  Author : Yu Peng                                             --
5
--                                                               -- 
6
--  This source file may be used and distributed without         -- 
7
--  restriction provided that this copyright statement is not    -- 
8
--  removed from the file and that any derivative work contains  -- 
9
--  the original copyright notice and the associated disclaimer. -- 
10
--                                                               -- 
11
--  This source file is free software; you can redistribute it   -- 
12
--  and/or modify it under the terms of the GNU Lesser General   -- 
13
--  Public License as published by the Free Software Foundation; -- 
14
--  either version 2.1 of the License, or (at your option) any   -- 
15
--  later version.                                               -- 
16
--                                                               -- 
17
--  This source is distributed in the hope that it will be       -- 
18
--  useful, but WITHOUT ANY WARRANTY; without even the implied   -- 
19
--  warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      -- 
20
--  PURPOSE.  See the GNU Lesser General Public License for more -- 
21
--  details.                                                     -- 
22
--                                                               -- 
23
--  You should have received a copy of the GNU Lesser General    -- 
24
--  Public License along with this source; if not, download it   -- 
25
--  from http://www.opencores.org/lgpl.shtml                     -- 
26
--                                                               -- 
27
-------------------------------------------------------------------
28
 
29 2 nuxi1209
library hotan;
30
use hotan.sha_256_pkg.all;
31
library ieee;
32
use ieee.NUMERIC_STD.all;
33
use ieee.STD_LOGIC_UNSIGNED.all;
34
use ieee.std_logic_1164.all;
35
use ieee.std_logic_arith.all;
36
 
37
        -- Add your library and packages declaration here ...
38
 
39
entity btc_dsha_tb is
40
        -- Generic declarations of the tested unit
41
                generic(
42
                gBASE_DELAY : INTEGER := 1 );
43
end btc_dsha_tb;
44
 
45
architecture TB_ARCHITECTURE of btc_dsha_tb is
46
        -- Component declaration of the tested unit
47
        component btc_dsha
48
                generic(
49
                gBASE_DELAY : INTEGER := 1 );
50
        port(
51
                iClkReg : in STD_LOGIC;
52
                iClkProcess : in STD_LOGIC;
53
                iRst_async : in STD_LOGIC;
54
                iValid_p : in STD_LOGIC;
55
                ivAddr : in STD_LOGIC_VECTOR(3 downto 0);
56
                ivData : in STD_LOGIC_VECTOR(31 downto 0);
57 3 nuxi1209
                oReachEnd_p : out STD_LOGIC;
58
                oFoundNonce_p : out STD_LOGIC;
59 2 nuxi1209
                ovNonce : out STD_LOGIC_VECTOR(31 downto 0);
60
                ovDigest : out tDwordArray(0 to 7) );
61
        end component;
62
 
63
        component sha_256_chunk
64
        generic(
65
                gMSG_IS_CONSTANT : std_logic_vector(0 to 15) := (others=>'1');
66
                gH_IS_CONST : std_logic_vector(0 to 7) := (others=>'1');
67 3 nuxi1209
                gBASE_DELAY : integer := 3;
68
                gOUT_VALID_GEN : boolean := false;
69
                gUSE_BRAM_AS_LARGE_SHIFTREG : boolean := false
70 2 nuxi1209
        );
71
        port(
72
                iClk : in STD_LOGIC;
73
                iRst_async : in STD_LOGIC;
74
                iValid : in STD_LOGIC;
75
                ivMsgDword : in tDwordArray(0 to 15);
76
                ivH0 : in STD_LOGIC_VECTOR(31 downto 0);
77
                ivH1 : in STD_LOGIC_VECTOR(31 downto 0);
78
                ivH2 : in STD_LOGIC_VECTOR(31 downto 0);
79
                ivH3 : in STD_LOGIC_VECTOR(31 downto 0);
80
                ivH4 : in STD_LOGIC_VECTOR(31 downto 0);
81
                ivH5 : in STD_LOGIC_VECTOR(31 downto 0);
82
                ivH6 : in STD_LOGIC_VECTOR(31 downto 0);
83
                ivH7 : in STD_LOGIC_VECTOR(31 downto 0);
84
                ovH0 : out STD_LOGIC_VECTOR(31 downto 0);
85
                ovH1 : out STD_LOGIC_VECTOR(31 downto 0);
86
                ovH2 : out STD_LOGIC_VECTOR(31 downto 0);
87
                ovH3 : out STD_LOGIC_VECTOR(31 downto 0);
88
                ovH4 : out STD_LOGIC_VECTOR(31 downto 0);
89
                ovH5 : out STD_LOGIC_VECTOR(31 downto 0);
90
                ovH6 : out STD_LOGIC_VECTOR(31 downto 0);
91 3 nuxi1209
                ovH7 : out STD_LOGIC_VECTOR(31 downto 0);
92
                oValid : out std_logic);
93 2 nuxi1209
        end component;
94
 
95
        component pipelines_without_reset IS
96
                GENERIC (gBUS_WIDTH : integer := 1; gNB_PIPELINES: integer range 1 to 255 := 2);
97
                PORT(
98
                        iClk                            : IN            STD_LOGIC;
99
                        iInput                          : IN            STD_LOGIC;
100
                        ivInput                         : IN            STD_LOGIC_VECTOR(gBUS_WIDTH-1 downto 0);
101
                        oDelayed_output         : OUT           STD_LOGIC;
102
                        ovDelayed_output        : OUT           STD_LOGIC_VECTOR(gBUS_WIDTH-1 downto 0)
103
                );
104
        end component;
105
 
106
        -- Stimulus signals - signals mapped to the input and inout ports of tested entity
107
        signal iClkReg : STD_LOGIC := '1';
108
        signal iClkProcess : STD_LOGIC := '1';
109
        signal iRst_async : STD_LOGIC := '1';
110
        signal iValid_p : STD_LOGIC := '0';
111
        signal ivAddr : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0');
112
        signal ivData : STD_LOGIC_VECTOR(31 downto 0) := (others=>'0');
113
        -- Observed signals - signals mapped to the output ports of tested entity
114 3 nuxi1209
        signal oReachEnd_p : STD_LOGIC := '0';
115
        signal oFoundNonce_p : STD_LOGIC := '0';
116 2 nuxi1209
        signal ovNonce : STD_LOGIC_VECTOR(31 downto 0) := (others=>'0');
117
        signal ovDigest : tDwordArray(0 to 7) := (others=>(others=>'0'));
118
 
119
        -- Add your code here ...
120 5 nuxi1209
        constant cREG_CLK_PERIOD : time := 10 ns; -- 100M Register Clock
121
        constant cPROC_CLK_PERIOD : time := 5 ns; -- 200M Processing Clock
122 3 nuxi1209
        constant cRESET_INTERVAL : time := 71 ns;
123
        constant cSTRAT_TEST : integer := 25;
124
 
125 2 nuxi1209
        constant cCMD_ADDR : std_logic_vector(3 downto 0) := X"D";
126 3 nuxi1209
        constant cCMD_NOP : std_logic_vector(15 downto 0) := X"0000";
127 2 nuxi1209
        constant cCMD_START : std_logic_vector(15 downto 0) := X"0001";
128
 
129
        signal svWork : tDwordArray(0 to 31) := (others=>(others=>'0'));
130
 
131 3 nuxi1209
        signal svWriteCnt : std_logic_vector(31 downto 0) := (others => '0');
132 2 nuxi1209
 
133
        signal sHashMidStateValidIn : std_logic := '0';
134
        signal sHashMidStateValidOut : std_logic := '0';
135
        signal svHashMidStateDataOut : tDwordArray(0 to 7) := (others=>(others=>'0'));
136
        signal svMidState : tDwordArray(0 to 7) := (others=>(others=>'0'));
137
        signal sMidStateValid : std_logic := '0';
138
 
139
begin
140
 
141
        -- Unit Under Test port map
142
        UUT : btc_dsha
143
                generic map (
144
                        gBASE_DELAY => gBASE_DELAY
145
                )
146
 
147
                port map (
148
                        iClkReg => iClkReg,
149
                        iClkProcess => iClkProcess,
150
                        iRst_async => iRst_async,
151
                        iValid_p => iValid_p,
152
                        ivAddr => ivAddr,
153
                        ivData => ivData,
154 3 nuxi1209
                        oReachEnd_p => oReachEnd_p,
155
                        oFoundNonce_p => oFoundNonce_p,
156 2 nuxi1209
                        ovNonce => ovNonce,
157
                        ovDigest => ovDigest
158
                );
159
 
160
        -- Add your stimulus here ...
161
 
162 3 nuxi1209
        iClkReg <= not iClkReg after (cREG_CLK_PERIOD / 2);
163
        iClkProcess <= not iClkProcess after (cPROC_CLK_PERIOD / 2);
164
        iRst_async <= '0' after cRESET_INTERVAL;
165 2 nuxi1209
 
166 3 nuxi1209
        -- This test vector is derive from block 266243, notice the endianess changment of converting JSON data to test vector
167
        -- blockId: 266243
168
        -- blockHash: 000000000000000399572203a6035acb2d68944c9c047435a5e9f11d40daa4ee
169
        -- merkleroot: 0e4cdeacdeaee092dcbb702887e323a036f6bd60d003448e965528adb5ffdf11
170
        -- nonce: 3064385291
171
        -- previousblockhash: 0000000000000005f2c7bd05ca9092ca041cdb85a4f8e5b2360d8b2a594014ea
172
        -- hash: 000000000000000399572203a6035acb2d68944c9c047435a5e9f11d40daa4ee
173
        -- version: 2
174
        -- height: 266243
175
        -- difficulty: 390928787.63808584
176
        -- confirmations: 1
177
        -- time: 1382820355
178
        -- bits: 190afc85
179
        -- size: 227682
180
        svWork(0) <= X"02000000" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
181
        svWork(1) <= X"ea144059" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
182
        svWork(2) <= X"2a8b0d36" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
183
        svWork(3) <= X"b2e5f8a4" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
184
        svWork(4) <= X"85db1c04" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
185
        svWork(5) <= X"ca9290ca" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
186
        svWork(6) <= X"05bdc7f2" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
187
        svWork(7) <= X"05000000" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
188
        svWork(8) <= X"00000000" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
189
        svWork(9) <= X"11dfffb5" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
190
        svWork(10) <= X"ad285596" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
191
        svWork(11) <= X"8e4403d0" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
192
        svWork(12) <= X"60bdf636" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
193
        svWork(13) <= X"a023e387" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
194
        svWork(14) <= X"2870bbdc" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
195
        svWork(15) <= X"92e0aede" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
196 2 nuxi1209
 
197 3 nuxi1209
        svWork(16) <= X"acde4c0e" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
198
        svWork(17) <= X"032A6C52" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
199
        svWork(18) <= X"85fc0a19" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
200
        svWork(19) <= X"0BCFA6B6" after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns);
201
 
202 2 nuxi1209
 
203
        process(iClkReg, iRst_async)
204
        begin
205
                if iRst_async = '1' then
206 3 nuxi1209
                        svWriteCnt <= (others => '0');
207 2 nuxi1209
                        iValid_p <= '0';
208
                elsif rising_edge(iClkReg) then
209
                        if sMidStateValid = '1' then
210 3 nuxi1209
                                svWriteCnt <= svWriteCnt + '1';
211 2 nuxi1209
                        end if;
212
 
213 5 nuxi1209
                        if svWriteCnt(1 downto 0) = "11" and svWriteCnt(13 downto 2) <= conv_std_logic_vector(13, 12) then
214 2 nuxi1209
                                iValid_p <= '1';
215
                        else
216
                                iValid_p <= '0';
217
                        end if;
218
                end if;
219
        end process;
220
 
221
        process(iClkReg, iRst_async)
222
        begin
223
                if iRst_async = '1' then
224
                        ivAddr <= (others=>'0');
225
                        ivData <= (others=>'0');
226
                elsif rising_edge(iClkReg) then
227 5 nuxi1209
                        if svWriteCnt(1 downto 0) = "11" then
228
                                case svWriteCnt(13 downto 2) is
229 2 nuxi1209
                                        when X"000" =>
230
                                        ivAddr <= X"0";
231
                                        ivData <= svMidState(0);
232
 
233
                                        when X"001" =>
234
                                        ivAddr <= X"1";
235
                                        ivData <= svMidState(1);
236
 
237
                                        when X"002" =>
238
                                        ivAddr <= X"2";
239
                                        ivData <= svMidState(2);
240
 
241
                                        when X"003" =>
242
                                        ivAddr <= X"3";
243
                                        ivData <= svMidState(3);
244
 
245
                                        when X"004" =>
246
                                        ivAddr <= X"4";
247
                                        ivData <= svMidState(4);
248
 
249
                                        when X"005" =>
250
                                        ivAddr <= X"5";
251
                                        ivData <= svMidState(5);
252
 
253
                                        when X"006" =>
254
                                        ivAddr <= X"6";
255
                                        ivData <= svMidState(6);
256
 
257
                                        when X"007" =>
258
                                        ivAddr <= X"7";
259
                                        ivData <= svMidState(7);
260
 
261
                                        when X"008" =>
262
                                        ivAddr <= X"8";
263
                                        ivData <= svWork(16);
264
 
265
                                        when X"009" =>
266
                                        ivAddr <= X"9";
267
                                        ivData <= svWork(17);
268
 
269
                                        when X"00A" =>
270
                                        ivAddr <= X"A";
271
                                        ivData <= svWork(18);
272
 
273
                                        when X"00B" =>
274
                                        ivAddr <= X"B";
275 5 nuxi1209
                                        ivData <= svWork(19) - X"02";
276 2 nuxi1209
 
277
                                        when X"00C" =>
278
                                        ivAddr <= X"C";
279 5 nuxi1209
                                        ivData <= svWork(19) + X"02";
280 2 nuxi1209
 
281
                                        when X"00D" =>
282
                                        ivAddr <= cCMD_ADDR;
283
                                        ivData <= X"0000" & cCMD_START;
284
 
285
                                        when others =>
286
                                        ivAddr <= cCMD_ADDR;
287 3 nuxi1209
                                        ivData <= X"0000" & cCMD_NOP;
288 2 nuxi1209
                                end case;
289
                        end if;
290
                end if;
291
        end process;
292
 
293
 
294 3 nuxi1209
        sHashMidStateValidIn <= '1' after (cSTRAT_TEST * cPROC_CLK_PERIOD + 1 ns), '0' after (cSTRAT_TEST * cPROC_CLK_PERIOD + cPROC_CLK_PERIOD + 1 ns);
295 2 nuxi1209
 
296
        sha_256_chunk_inst_HashMidState : sha_256_chunk
297
                generic map(
298
                        gMSG_IS_CONSTANT => (others=>'0'),
299
                        gH_IS_CONST => (others=>'1'),
300 3 nuxi1209
                        gBASE_DELAY => gBASE_DELAY,
301
                        gOUT_VALID_GEN => true
302 2 nuxi1209
                )
303
                port map (
304
                        iClk => iClkProcess,
305
                        iRst_async => iRst_async,
306
                        iValid => sHashMidStateValidIn,
307
 
308
                        ivMsgDword => svWork(0 to 15),
309
 
310
                        ivH0 => X"6a09e667",
311
                        ivH1 => X"bb67ae85",
312
                        ivH2 => X"3c6ef372",
313
                        ivH3 => X"a54ff53a",
314
                        ivH4 => X"510e527f",
315
                        ivH5 => X"9b05688c",
316
                        ivH6 => X"1f83d9ab",
317
                        ivH7 => X"5be0cd19",
318
 
319
                        ovH0 => svHashMidStateDataOut(0),
320
                        ovH1 => svHashMidStateDataOut(1),
321
                        ovH2 => svHashMidStateDataOut(2),
322
                        ovH3 => svHashMidStateDataOut(3),
323
                        ovH4 => svHashMidStateDataOut(4),
324
                        ovH5 => svHashMidStateDataOut(5),
325
                        ovH6 => svHashMidStateDataOut(6),
326 3 nuxi1209
                        ovH7 => svHashMidStateDataOut(7),
327
 
328
                        oValid => sHashMidStateValidOut
329 2 nuxi1209
                );
330
 
331 3 nuxi1209
--      pipelines_without_reset_Valid : pipelines_without_reset
332
--              GENERIC map(gBUS_WIDTH => 1, gNB_PIPELINES => (64 * gBASE_DELAY + 1))
333
--              PORT map(
334
--                      iClk => iClkProcess,
335
--                      iInput => sHashMidStateValidIn,
336
--                      ivInput => (others=>'0'),
337
--                      oDelayed_output => sHashMidStateValidOut,
338
--                      ovDelayed_output => open
339
--              );
340 2 nuxi1209
 
341
        process(iClkProcess)
342
        begin
343
                if rising_edge(iClkProcess) then
344
                        if sHashMidStateValidOut = '1' then
345
                                svMidState <= svHashMidStateDataOut;
346
                                sMidStateValid <= '1';
347
                        end if;
348
                end if;
349
        end process;
350
 
351
end TB_ARCHITECTURE;
352
 
353
configuration TESTBENCH_FOR_btc_dsha of btc_dsha_tb is
354
        for TB_ARCHITECTURE
355
                for UUT : btc_dsha
356
                        use entity work.btc_dsha(behavioral);
357
                end for;
358
        end for;
359
end TESTBENCH_FOR_btc_dsha;
360
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.