OpenCores
URL https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk

Subversion Repositories btc_dsha256

[/] [btc_dsha256/] [trunk/] [rtl/] [vhdl/] [sha256core/] [sha_256_ext_func_1c.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 nuxi1209
------------------------------------------------------------------- 
2
--                                                               --
3
--  Copyright (C) 2013 Author and VariStream Studio              --
4
--  Author : Yu Peng                                             --
5
--                                                               -- 
6
--  This source file may be used and distributed without         -- 
7
--  restriction provided that this copyright statement is not    -- 
8
--  removed from the file and that any derivative work contains  -- 
9
--  the original copyright notice and the associated disclaimer. -- 
10
--                                                               -- 
11
--  This source file is free software; you can redistribute it   -- 
12
--  and/or modify it under the terms of the GNU Lesser General   -- 
13
--  Public License as published by the Free Software Foundation; -- 
14
--  either version 2.1 of the License, or (at your option) any   -- 
15
--  later version.                                               -- 
16
--                                                               -- 
17
--  This source is distributed in the hope that it will be       -- 
18
--  useful, but WITHOUT ANY WARRANTY; without even the implied   -- 
19
--  warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      -- 
20
--  PURPOSE.  See the GNU Lesser General Public License for more -- 
21
--  details.                                                     -- 
22
--                                                               -- 
23
--  You should have received a copy of the GNU Lesser General    -- 
24
--  Public License along with this source; if not, download it   -- 
25
--  from http://www.opencores.org/lgpl.shtml                     -- 
26
--                                                               -- 
27
-------------------------------------------------------------------
28
--  Notes : Introduce delay of 1 clock cycle
29
-------------------------------------------------------------------
30 2 nuxi1209
 
31
library IEEE;
32
 
33
use IEEE.STD_LOGIC_1164.ALL;
34
use ieee.std_logic_unsigned.all;
35
use work.sha_256_pkg.ALL;
36
 
37
entity sha_256_ext_func_1c is
38
        port(
39
                iClk : in std_logic;
40
                iRst_async : in std_logic;
41
 
42
                ivWIM2 : in std_logic_vector(31 downto 0);
43
                ivWIM7 : in std_logic_vector(31 downto 0);
44
                ivWIM15 : in std_logic_vector(31 downto 0);
45
                ivWIM16 : in std_logic_vector(31 downto 0);
46
 
47
                ovWO : out std_logic_vector(31 downto 0)
48
        );
49
end sha_256_ext_func_1c;
50
 
51
architecture behavioral of sha_256_ext_func_1c is
52
 
53
begin
54
 
55
        process(iClk)
56
        begin
57
                if rising_edge(iClk) then
58
                        ovWO <= ivWIM16 + sigma_0(ivWIM15) + ivWIM7 + sigma_1(ivWIM2);
59
                end if;
60
        end process;
61
 
62
end behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.