OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [cmd/] [altera/] [virtual_jtag_console.tcl] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 ash_riple
##**************************************************************
2 8 ash_riple
## Module             : virtual_jtag_console.tcl
3 6 ash_riple
## Platform           : Windows xp sp2
4
## Author             : Bibo Yang  (ash_riple@hotmail.com)
5
## Organization       : www.opencores.org
6
## Revision           : 2.1 
7
## Date               : 2012/03/15
8
## Description        : Tcl/Tk GUI for the up_monitor
9
##**************************************************************
10
 
11 2 ash_riple
proc reset_fifo {{jtag_index_0 0}} {
12 6 ash_riple
        device_lock -timeout 5
13 2 ash_riple
        device_virtual_ir_shift -instance_index $jtag_index_0 -ir_value 2 -no_captured_ir_value
14
        device_virtual_dr_shift -instance_index $jtag_index_0  -length 32 -dr_value 00000000 -value_in_hex -no_captured_dr_value
15
        device_unlock
16
        return 0
17
}
18
 
19
proc query_usedw {{jtag_index_0 0}} {
20
        global fifoUsedw
21 6 ash_riple
        device_lock -timeout 5
22 2 ash_riple
        device_virtual_ir_shift -instance_index $jtag_index_0 -ir_value 1 -no_captured_ir_value
23
        set usedw [device_virtual_dr_shift -instance_index $jtag_index_0 -length 9 -value_in_hex]
24
        device_unlock
25
                set tmp 0x
26
                append tmp $usedw
27
                set usedw [format "%i" $tmp]
28
        set fifoUsedw $usedw
29
        return $usedw
30
}
31
 
32
proc read_fifo {{jtag_index_0 0}} {
33 6 ash_riple
        device_lock -timeout 5
34 2 ash_riple
        device_virtual_ir_shift -instance_index $jtag_index_0 -ir_value 1 -no_captured_ir_value
35
        device_virtual_ir_shift -instance_index $jtag_index_0 -ir_value 3 -no_captured_ir_value
36 6 ash_riple
        set fifo_data [device_virtual_dr_shift -instance_index $jtag_index_0 -length 50 -value_in_hex]
37 2 ash_riple
        device_unlock
38
        return $fifo_data
39
}
40
 
41 8 ash_riple
proc config_addr {{jtag_index_1 1} {mask 0100000000} {mask_id 1}} {
42
        global log
43
        set mask_leng [string length $mask]
44
        if {$mask_leng!=10} {
45
                $log insert end "\nError: Wrong address mask length @$mask_id: [expr $mask_leng-2]. Expects: 8.\n"
46
 
47
        } else {
48
                device_lock -timeout 5
49
                device_virtual_ir_shift -instance_index $jtag_index_1 -ir_value 1 -no_captured_ir_value
50
                set addr_mask [device_virtual_dr_shift -instance_index $jtag_index_1 -dr_value $mask -length 40 -value_in_hex]
51
                device_unlock
52
                return $addr_mask
53
        }
54 2 ash_riple
}
55
 
56 8 ash_riple
proc config_trig {{jtag_index_2 2} {trig 00000000000000}} {
57
        global log
58
        set trig_leng [string length $trig]
59
        if {$trig_leng!=14} {
60
                $log insert end "\nError: Wrong trigger condition length: [expr $trig_leng-2]. Expects: 4+8.\n"
61
        } else {
62
                device_lock -timeout 5
63
                device_virtual_ir_shift -instance_index $jtag_index_2 -ir_value 1 -no_captured_ir_value
64
                set addr_trig [device_virtual_dr_shift -instance_index $jtag_index_2 -dr_value $trig -length 56 -value_in_hex]
65
                device_unlock
66
                return $addr_trig
67
        }
68 2 ash_riple
}
69
 
70
proc open_jtag_device {{test_cable "USB-Blaster [USB-0]"} {test_device "@2: EP2SGX90 (0x020E30DD)"}} {
71
        open_device -hardware_name $test_cable -device_name $test_device
72
        # Retrieve device id code.
73 6 ash_riple
        device_lock -timeout 5
74 2 ash_riple
        device_ir_shift -ir_value 6 -no_captured_ir_value
75
        set idcode "0x[device_dr_shift -length 32 -value_in_hex]"
76
        device_unlock
77
        return $idcode
78
}
79
 
80
proc close_jtag_device {} {
81
        close_device
82
}
83
 
84
proc scan_chain {} {
85
        global log
86
        $log insert end "JTAG Chain Scanning report:\n"
87
        $log insert end "****************************************\n"
88
        set blaster_cables [get_hardware_names]
89
        set cable_num 0
90
        foreach blaster_cable $blaster_cables {
91
                incr cable_num
92
                $log insert end "@$cable_num: $blaster_cable\n"
93
        }
94
        $log insert end "\n****************************************\n"
95
        global device_list
96
        set device_list ""
97
        foreach blaster_cable $blaster_cables {
98
                $log insert end "$blaster_cable:\n"
99
                lappend device_list $blaster_cable
100
                if [catch {get_device_names -hardware_name $blaster_cable} error_msg] {
101
                        $log insert end $error_msg
102
                        lappend device_list $error_msg
103
                } else {
104
                        foreach test_device [get_device_names -hardware_name $blaster_cable] {
105
                                $log insert end "$test_device\n"
106
                        }
107
                        lappend device_list [get_device_names -hardware_name $blaster_cable]
108
                }
109
        }
110
}
111
 
112
proc select_device {{cableNum 1} {deviceNum 1}} {
113
        global log
114
        global device_list
115
        $log insert end "\n****************************************\n"
116
        set test_cable [lindex $device_list [expr 2*$cableNum-2]]
117
        $log insert end "Selected Cable : $test_cable\n"
118
        set test_device [lindex [lindex $device_list [expr 2*$cableNum-1]] [expr $deviceNum-1]]
119
        $log insert end "Selected Device: $test_device\n"
120
        set jtagIdCode [open_jtag_device $test_cable $test_device]
121
        $log insert end "Device ID code : $jtagIdCode\n"
122
        reset_fifo 0
123
        query_usedw 0
124
}
125
 
126 6 ash_riple
proc updateAddrConfig {} {
127 2 ash_riple
        global address_span1
128
        global address_span2
129
        global address_span3
130
        global address_span4
131
        global address_span5
132
        global address_span6
133
        global address_span7
134
        global address_span8
135
        global address_span9
136
        global address_span10
137
        global address_span11
138
        global address_span12
139
        global address_span13
140
        global address_span14
141
        global address_span15
142
        global address_span16
143 6 ash_riple
        global address_span_en1
144
        global address_span_en2
145
        global address_span_en3
146
        global address_span_en4
147
        global address_span_en5
148
        global address_span_en6
149
        global address_span_en7
150
        global address_span_en8
151
        global address_span_en9
152
        global address_span_en10
153
        global address_span_en11
154
        global address_span_en12
155
        global address_span_en13
156
        global address_span_en14
157
        global address_span_en15
158
        global address_span_en16
159
        global addr_wren
160
        global addr_rden
161
        for {set i 1} {$i<=16} {incr i} {
162
                set    mask [format "%1X" [expr $i-1]]
163
                append mask [format "%1X" [expr $addr_wren*8+$addr_rden*4+[set address_span_en$i]]]
164 2 ash_riple
                append mask [set address_span$i]
165 8 ash_riple
                config_addr 1 $mask $i
166 2 ash_riple
        }
167
}
168
 
169 6 ash_riple
proc initAddrConfig {} {
170 2 ash_riple
        global log
171
        global address_span1
172
        global address_span2
173
        global address_span3
174
        global address_span4
175
        global address_span5
176
        global address_span6
177
        global address_span7
178
        global address_span8
179
        global address_span9
180
        global address_span10
181
        global address_span11
182
        global address_span12
183
        global address_span13
184
        global address_span14
185
        global address_span15
186
        global address_span16
187
        for {set i 1} {$i<=8} {incr i} {
188
                set address_span$i ffff0000
189
        }
190
        for {set i 9} {$i<=16} {incr i} {
191
                set address_span$i 00000000
192
        }
193
}
194
 
195 6 ash_riple
proc updateTrigger {{trigCmd 0}} {
196 2 ash_riple
        global triggerAddr
197
        global triggerData
198 6 ash_riple
        global trig_wren
199
        global trig_rden
200
        global trig_aden
201
        global trig_daen
202
        set    triggerValue [format "%1X" [expr $trig_aden*8+$trig_daen*4+0]]
203
        append triggerValue [format "%1X" [expr $trig_wren*8+$trig_rden*4+$trigCmd]]
204 2 ash_riple
        append triggerValue $triggerAddr
205
        append triggerValue $triggerData
206
        config_trig 2 $triggerValue
207
}
208
 
209
proc startTrigger {} {
210 6 ash_riple
        global trig_wren
211
        global trig_rden
212
        global trig_aden
213
        global trig_daen
214
        set trigEnable [expr $trig_wren+$trig_rden+$trig_aden+$trig_daen]
215
        if {$trigEnable>0} {
216
                updateTrigger 2
217
                reset_fifo 0
218
                query_usedw 0
219
                updateTrigger 3
220
        } else {
221
                updateTrigger 0
222
        }
223 2 ash_riple
}
224
 
225
proc reset_fifo_ptr {} {
226
        reset_fifo 0
227
        query_usedw 0
228
}
229
 
230
proc query_fifo_usedw {} {
231
        query_usedw 0
232
}
233
 
234
proc read_fifo_content {} {
235
        global log
236
        global fifoUsedw
237
        $log insert end "\n****************************************\n"
238
        for {set i 0} {$i<$fifoUsedw} {incr i} {
239
                set fifoContent [read_fifo 0]
240 6 ash_riple
                set ok_trig [expr [format "%d" 0x[string index $fifoContent 0]]/2]
241
                set wr_cptr [expr [format "%d" 0x[string index $fifoContent 0]]%2]
242
                set ad_cptr [string range $fifoContent 1  4]
243
                set da_cptr [string range $fifoContent 5 12]
244
                if $ok_trig {
245
                        $log insert end "@@@@@@@@@@@@@@@@@@@@\n"
246
                }
247
                if $wr_cptr {
248
                        $log insert end "wr $ad_cptr $da_cptr\n"
249
                } else {
250
                        $log insert end "rd $ad_cptr $da_cptr\n"
251
                }
252 2 ash_riple
        }
253
        query_usedw 0
254
}
255
 
256
proc clear_log {} {
257
        global log
258
        $log delete insert end
259
}
260
 
261
proc quit {} {
262
        global exit_console
263
        destroy .console
264
        set exit_console 1
265
}
266
 
267
# set the QuartusII special Tk command
268
init_tk
269
set exit_console 0
270
 
271
# set the main window
272
toplevel .console
273 6 ash_riple
wm title .console "www.OpenCores.org: uP Transaction Monitor"
274 2 ash_riple
pack propagate .console true
275
 
276 6 ash_riple
# set the www.OpenCores.org logo
277 2 ash_riple
frame .console.fig -bg white
278
pack .console.fig -expand true -fill both
279 6 ash_riple
image create photo logo -format gif -file "../common/OpenCores.gif"
280
label .console.fig.logo -image logo -bg white
281
pack .console.fig.logo
282 2 ash_riple
 
283 6 ash_riple
# set the JTAG utility
284
frame .console.jtag -relief groove -borderwidth 5
285
pack .console.jtag
286
button .console.jtag.scan -text {Scan JTAG Chain} -command {scan_chain}
287
button .console.jtag.select -text {Select JTAG Device :} -command {select_device $cableNum $deviceNum}
288
button .console.jtag.deselect -text {DeSelect JTAG Device} -command {close_jtag_device}
289
label .console.jtag.cable -text {Cable @}
290
label .console.jtag.devic -text {Device @}
291
entry .console.jtag.cable_num -textvariable cableNum -width 5
292
entry .console.jtag.devic_num -textvariable deviceNum -width 5
293
pack .console.jtag.scan .console.jtag.select \
294
     .console.jtag.cable .console.jtag.cable_num \
295
     .console.jtag.devic .console.jtag.devic_num \
296
     .console.jtag.deselect \
297
     -side left -ipadx 0
298 2 ash_riple
 
299
# set the inclusive address entries
300
frame .console.f1 -relief groove -borderwidth 5
301
pack .console.f1
302 6 ash_riple
label .console.f1.incl_addr -text {Inclusive Addr:}
303
entry .console.f1.address_span1 -textvariable address_span1 -width 8
304
entry .console.f1.address_span2 -textvariable address_span2 -width 8
305
entry .console.f1.address_span3 -textvariable address_span3 -width 8
306
entry .console.f1.address_span4 -textvariable address_span4 -width 8
307
entry .console.f1.address_span5 -textvariable address_span5 -width 8
308
entry .console.f1.address_span6 -textvariable address_span6 -width 8
309
entry .console.f1.address_span7 -textvariable address_span7 -width 8
310
entry .console.f1.address_span8 -textvariable address_span8 -width 8
311
checkbutton .console.f1.address_span_en1 -variable address_span_en1
312
checkbutton .console.f1.address_span_en2 -variable address_span_en2
313
checkbutton .console.f1.address_span_en3 -variable address_span_en3
314
checkbutton .console.f1.address_span_en4 -variable address_span_en4
315
checkbutton .console.f1.address_span_en5 -variable address_span_en5
316
checkbutton .console.f1.address_span_en6 -variable address_span_en6
317
checkbutton .console.f1.address_span_en7 -variable address_span_en7
318
checkbutton .console.f1.address_span_en8 -variable address_span_en8
319
pack .console.f1.incl_addr \
320
     .console.f1.address_span_en1 .console.f1.address_span1 \
321
     .console.f1.address_span_en2 .console.f1.address_span2 \
322
     .console.f1.address_span_en3 .console.f1.address_span3 \
323
     .console.f1.address_span_en4 .console.f1.address_span4 \
324
     .console.f1.address_span_en5 .console.f1.address_span5 \
325
     .console.f1.address_span_en6 .console.f1.address_span6 \
326
     .console.f1.address_span_en7 .console.f1.address_span7 \
327
     .console.f1.address_span_en8 .console.f1.address_span8 \
328
     -side left -ipadx 0
329 2 ash_riple
 
330
# set the exclusive address entries
331
frame .console.f2 -relief groove -borderwidth 5
332
pack .console.f2
333 6 ash_riple
label .console.f2.excl_addr -text {Exclusive Addr:}
334
entry .console.f2.address_span9  -textvariable address_span9  -width 8
335
entry .console.f2.address_span10 -textvariable address_span10 -width 8
336
entry .console.f2.address_span11 -textvariable address_span11 -width 8
337
entry .console.f2.address_span12 -textvariable address_span12 -width 8
338
entry .console.f2.address_span13 -textvariable address_span13 -width 8
339
entry .console.f2.address_span14 -textvariable address_span14 -width 8
340
entry .console.f2.address_span15 -textvariable address_span15 -width 8
341
entry .console.f2.address_span16 -textvariable address_span16 -width 8
342
checkbutton .console.f2.address_span_en9  -variable address_span_en9
343
checkbutton .console.f2.address_span_en10 -variable address_span_en10
344
checkbutton .console.f2.address_span_en11 -variable address_span_en11
345
checkbutton .console.f2.address_span_en12 -variable address_span_en12
346
checkbutton .console.f2.address_span_en13 -variable address_span_en13
347
checkbutton .console.f2.address_span_en14 -variable address_span_en14
348
checkbutton .console.f2.address_span_en15 -variable address_span_en15
349
checkbutton .console.f2.address_span_en16 -variable address_span_en16
350
pack .console.f2.excl_addr \
351
     .console.f2.address_span_en9  .console.f2.address_span9  \
352
     .console.f2.address_span_en10 .console.f2.address_span10 \
353
     .console.f2.address_span_en11 .console.f2.address_span11 \
354
     .console.f2.address_span_en12 .console.f2.address_span12 \
355
     .console.f2.address_span_en13 .console.f2.address_span13 \
356
     .console.f2.address_span_en14 .console.f2.address_span14 \
357
     .console.f2.address_span_en15 .console.f2.address_span15 \
358
     .console.f2.address_span_en16 .console.f2.address_span16 \
359
     -side left -ipadx 0
360 2 ash_riple
 
361 6 ash_riple
initAddrConfig
362
 
363
# set the address configuration buttons
364
frame .console.addr_cnfg -relief groove -borderwidth 5
365
pack .console.addr_cnfg
366
checkbutton .console.addr_cnfg.wren -text {WR} -variable addr_wren
367
checkbutton .console.addr_cnfg.rden -text {RD} -variable addr_rden
368
button .console.addr_cnfg.config -text {Apply Address Filter} -command {updateAddrConfig}
369
pack .console.addr_cnfg.wren .console.addr_cnfg.rden .console.addr_cnfg.config \
370
     -side left -ipadx 0
371
 
372 2 ash_riple
# set the transaction trigger controls
373 6 ash_riple
frame .console.trig -relief groove -borderwidth 5
374
pack .console.trig
375
button .console.trig.starttrig -text {Apply Trigger Condition} -command {startTrigger}
376
entry .console.trig.trigvalue_addr -textvar triggerAddr -width 4
377 2 ash_riple
set triggerAddr ffff
378 6 ash_riple
entry .console.trig.trigvalue_data -textvar triggerData -width 8
379 2 ash_riple
set triggerData a5a5a5a5
380 6 ash_riple
checkbutton .console.trig.trigaddr -text {@Addr:} -variable trig_aden
381
checkbutton .console.trig.trigdata -text {@Data:} -variable trig_daen
382
checkbutton .console.trig.wren -text {@WR} -variable trig_wren
383
checkbutton .console.trig.rden -text {@RD} -variable trig_rden
384
pack .console.trig.wren .console.trig.rden \
385
     .console.trig.trigaddr .console.trig.trigvalue_addr \
386
     .console.trig.trigdata .console.trig.trigvalue_data \
387
     .console.trig.starttrig \
388
     -side left -ipadx 0
389 2 ash_riple
 
390
# set the control buttons
391 6 ash_riple
frame .console.fifo -relief groove -borderwidth 5
392
pack .console.fifo
393
button .console.fifo.reset -text {Reset FIFO} -command {reset_fifo_ptr}
394
button .console.fifo.loop -text {Query Used Word} -command {query_fifo_usedw}
395
label .console.fifo.usedw  -textvariable fifoUsedw -relief sunken -width 4
396
button .console.fifo.read       -text {Read FIFO} -command {read_fifo_content}
397
button .console.fifo.clear -text {Clear Log} -command {clear_log}
398
button .console.fifo.quit -text {Quit} -command {quit}
399
pack .console.fifo.reset .console.fifo.loop .console.fifo.usedw .console.fifo.read .console.fifo.clear .console.fifo.quit \
400
     -side left -ipadx 0
401 2 ash_riple
 
402
# set the log window
403
frame .console.log -relief groove -borderwidth 5
404 6 ash_riple
set log [text .console.log.text -width 80 -height 25 \
405 2 ash_riple
        -borderwidth 2 -relief sunken -setgrid true \
406
        -yscrollcommand {.console.log.scroll set}]
407
scrollbar .console.log.scroll -command {.console.log.text yview}
408
pack .console.log.scroll -side right -fill y
409
pack .console.log.text -side left -fill both -expand true
410
pack .console.log -side top -fill both -expand true
411
 
412
# make the program wait for exit signal
413
vwait exit_console
414
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.