OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [par/] [xilinx/] [up_monitor.tcl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 ash_riple
create_project -force up_monitor . -part xc7z020clg484-1
2
set_property board zc702 [current_project]
3
 
4
add_files -norecurse ./vendor.h
5
add_files -norecurse ../../rtl/up_monitor_wrapper.v
6
add_files -norecurse ../../rtl/up_monitor.v
7
add_files -norecurse ../../rtl/xilinx/chipscope_vio_adda_fifo.v
8
add_files -norecurse ../../rtl/xilinx/chipscope_vio_adda_trig.v
9
add_files -norecurse ../../rtl/xilinx/chipscope_vio_addr_mask.v
10
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_icon.ngc
11
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_icon.v
12
add_files -norecurse ../../rtl/xilinx/coregen/scfifo.ngc
13
add_files -norecurse ../../rtl/xilinx/coregen/scfifo.v
14
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_fifo.ngc
15
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_fifo.v
16
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_mask.ngc
17
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_mask.v
18
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_trig.ngc
19
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_trig.v
20
set_property is_global_include true [get_files ./vendor.h]
21
set_property top up_monitor_wrapper [current_fileset]
22
set_property top_file ../../rtl/up_monitor_wrapper.v [current_fileset]
23
 
24
reset_run synth_1
25
reset_run impl_1
26
 
27
launch_runs synth_1 -jobs 1
28
wait_on_run synth_1
29
 
30
launch_runs impl_1 -jobs 1
31
wait_on_run impl_1
32
 
33
#launch_runs impl_1 -to_step Bitgen
34
#wait_on_run impl_1
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.