OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [par/] [xilinx/] [xps/] [data/] [ps7_constraints.xdc] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 ash_riple
############################################################################
2
##
3
##  Xilinx, Inc. 2006            www.xilinx.com
4
############################################################################
5
##  File name :       data/ps7_constraints.xdc
6
##
7
##  Details :     Constraints file
8
##                    FPGA family:       zynq
9
##                    FPGA:              xc7z020clg484-1
10
##                    Device Size:        xc7z020
11
##                    Package:            clg484
12
##                    Speedgrade:         -1
13
##
14
##Note: This is a generated file. Configuration settings should not be edited
15
##
16
############################################################################
17
############################################################################
18
############################################################################
19
# I/O STANDARDS and Location Constraints                                   #
20
############################################################################
21
 
22
#  Enet 0 / mdio / MIO[53]
23
set_property iostandard "LVCMOS18" [get_ports "MIO[53]"]
24
set_property PACKAGE_PIN "C12" [get_ports "MIO[53]"]
25
set_property slew "slow" [get_ports "MIO[53]"]
26
set_property drive "8" [get_ports "MIO[53]"]
27
set_property pullup "TRUE" [get_ports "MIO[53]"]
28
#  Enet 0 / mdc / MIO[52]
29
set_property iostandard "LVCMOS18" [get_ports "MIO[52]"]
30
set_property PACKAGE_PIN "D10" [get_ports "MIO[52]"]
31
set_property slew "slow" [get_ports "MIO[52]"]
32
set_property drive "8" [get_ports "MIO[52]"]
33
set_property pullup "TRUE" [get_ports "MIO[52]"]
34
#  I2C 0 / sda / MIO[51]
35
set_property iostandard "LVCMOS18" [get_ports "MIO[51]"]
36
set_property PACKAGE_PIN "C10" [get_ports "MIO[51]"]
37
set_property slew "slow" [get_ports "MIO[51]"]
38
set_property drive "8" [get_ports "MIO[51]"]
39
set_property pullup "TRUE" [get_ports "MIO[51]"]
40
#  I2C 0 / scl / MIO[50]
41
set_property iostandard "LVCMOS18" [get_ports "MIO[50]"]
42
set_property PACKAGE_PIN "D13" [get_ports "MIO[50]"]
43
set_property slew "slow" [get_ports "MIO[50]"]
44
set_property drive "8" [get_ports "MIO[50]"]
45
set_property pullup "TRUE" [get_ports "MIO[50]"]
46
#  UART 1 / rx / MIO[49]
47
set_property iostandard "LVCMOS18" [get_ports "MIO[49]"]
48
set_property PACKAGE_PIN "C14" [get_ports "MIO[49]"]
49
set_property slew "slow" [get_ports "MIO[49]"]
50
set_property drive "8" [get_ports "MIO[49]"]
51
set_property pullup "TRUE" [get_ports "MIO[49]"]
52
#  UART 1 / tx / MIO[48]
53
set_property iostandard "LVCMOS18" [get_ports "MIO[48]"]
54
set_property PACKAGE_PIN "D11" [get_ports "MIO[48]"]
55
set_property slew "slow" [get_ports "MIO[48]"]
56
set_property drive "8" [get_ports "MIO[48]"]
57
set_property pullup "TRUE" [get_ports "MIO[48]"]
58
#  CAN 0 / tx / MIO[47]
59
set_property iostandard "LVCMOS18" [get_ports "MIO[47]"]
60
set_property PACKAGE_PIN "B10" [get_ports "MIO[47]"]
61
set_property slew "slow" [get_ports "MIO[47]"]
62
set_property drive "8" [get_ports "MIO[47]"]
63
set_property pullup "TRUE" [get_ports "MIO[47]"]
64
#  CAN 0 / rx / MIO[46]
65
set_property iostandard "LVCMOS18" [get_ports "MIO[46]"]
66
set_property PACKAGE_PIN "D12" [get_ports "MIO[46]"]
67
set_property slew "slow" [get_ports "MIO[46]"]
68
set_property drive "8" [get_ports "MIO[46]"]
69
set_property pullup "TRUE" [get_ports "MIO[46]"]
70
#  SD 0 / data[3] / MIO[45]
71
set_property iostandard "LVCMOS18" [get_ports "MIO[45]"]
72
set_property PACKAGE_PIN "B9" [get_ports "MIO[45]"]
73
set_property slew "fast" [get_ports "MIO[45]"]
74
set_property drive "8" [get_ports "MIO[45]"]
75
set_property pullup "TRUE" [get_ports "MIO[45]"]
76
#  SD 0 / data[2] / MIO[44]
77
set_property iostandard "LVCMOS18" [get_ports "MIO[44]"]
78
set_property PACKAGE_PIN "E13" [get_ports "MIO[44]"]
79
set_property slew "fast" [get_ports "MIO[44]"]
80
set_property drive "8" [get_ports "MIO[44]"]
81
set_property pullup "TRUE" [get_ports "MIO[44]"]
82
#  SD 0 / data[1] / MIO[43]
83
set_property iostandard "LVCMOS18" [get_ports "MIO[43]"]
84
set_property PACKAGE_PIN "B11" [get_ports "MIO[43]"]
85
set_property slew "fast" [get_ports "MIO[43]"]
86
set_property drive "8" [get_ports "MIO[43]"]
87
set_property pullup "TRUE" [get_ports "MIO[43]"]
88
#  SD 0 / data[0] / MIO[42]
89
set_property iostandard "LVCMOS18" [get_ports "MIO[42]"]
90
set_property PACKAGE_PIN "D8" [get_ports "MIO[42]"]
91
set_property slew "fast" [get_ports "MIO[42]"]
92
set_property drive "8" [get_ports "MIO[42]"]
93
set_property pullup "TRUE" [get_ports "MIO[42]"]
94
#  SD 0 / cmd / MIO[41]
95
set_property iostandard "LVCMOS18" [get_ports "MIO[41]"]
96
set_property PACKAGE_PIN "C8" [get_ports "MIO[41]"]
97
set_property slew "fast" [get_ports "MIO[41]"]
98
set_property drive "8" [get_ports "MIO[41]"]
99
set_property pullup "TRUE" [get_ports "MIO[41]"]
100
#  SD 0 / clk / MIO[40]
101
set_property iostandard "LVCMOS18" [get_ports "MIO[40]"]
102
set_property PACKAGE_PIN "E14" [get_ports "MIO[40]"]
103
set_property slew "fast" [get_ports "MIO[40]"]
104
set_property drive "8" [get_ports "MIO[40]"]
105
set_property pullup "TRUE" [get_ports "MIO[40]"]
106
#  USB 0 / data[7] / MIO[39]
107
set_property iostandard "LVCMOS18" [get_ports "MIO[39]"]
108
set_property PACKAGE_PIN "C13" [get_ports "MIO[39]"]
109
set_property slew "fast" [get_ports "MIO[39]"]
110
set_property drive "8" [get_ports "MIO[39]"]
111
set_property pullup "TRUE" [get_ports "MIO[39]"]
112
#  USB 0 / data[6] / MIO[38]
113
set_property iostandard "LVCMOS18" [get_ports "MIO[38]"]
114
set_property PACKAGE_PIN "F13" [get_ports "MIO[38]"]
115
set_property slew "fast" [get_ports "MIO[38]"]
116
set_property drive "8" [get_ports "MIO[38]"]
117
set_property pullup "TRUE" [get_ports "MIO[38]"]
118
#  USB 0 / data[5] / MIO[37]
119
set_property iostandard "LVCMOS18" [get_ports "MIO[37]"]
120
set_property PACKAGE_PIN "B14" [get_ports "MIO[37]"]
121
set_property slew "fast" [get_ports "MIO[37]"]
122
set_property drive "8" [get_ports "MIO[37]"]
123
set_property pullup "TRUE" [get_ports "MIO[37]"]
124
#  USB 0 / clk / MIO[36]
125
set_property iostandard "LVCMOS18" [get_ports "MIO[36]"]
126
set_property PACKAGE_PIN "A9" [get_ports "MIO[36]"]
127
set_property slew "fast" [get_ports "MIO[36]"]
128
set_property drive "8" [get_ports "MIO[36]"]
129
set_property pullup "TRUE" [get_ports "MIO[36]"]
130
#  USB 0 / data[3] / MIO[35]
131
set_property iostandard "LVCMOS18" [get_ports "MIO[35]"]
132
set_property PACKAGE_PIN "F14" [get_ports "MIO[35]"]
133
set_property slew "fast" [get_ports "MIO[35]"]
134
set_property drive "8" [get_ports "MIO[35]"]
135
set_property pullup "TRUE" [get_ports "MIO[35]"]
136
#  USB 0 / data[2] / MIO[34]
137
set_property iostandard "LVCMOS18" [get_ports "MIO[34]"]
138
set_property PACKAGE_PIN "B12" [get_ports "MIO[34]"]
139
set_property slew "fast" [get_ports "MIO[34]"]
140
set_property drive "8" [get_ports "MIO[34]"]
141
set_property pullup "TRUE" [get_ports "MIO[34]"]
142
#  USB 0 / data[1] / MIO[33]
143
set_property iostandard "LVCMOS18" [get_ports "MIO[33]"]
144
set_property PACKAGE_PIN "G13" [get_ports "MIO[33]"]
145
set_property slew "fast" [get_ports "MIO[33]"]
146
set_property drive "8" [get_ports "MIO[33]"]
147
set_property pullup "TRUE" [get_ports "MIO[33]"]
148
#  USB 0 / data[0] / MIO[32]
149
set_property iostandard "LVCMOS18" [get_ports "MIO[32]"]
150
set_property PACKAGE_PIN "C7" [get_ports "MIO[32]"]
151
set_property slew "fast" [get_ports "MIO[32]"]
152
set_property drive "8" [get_ports "MIO[32]"]
153
set_property pullup "TRUE" [get_ports "MIO[32]"]
154
#  USB 0 / nxt / MIO[31]
155
set_property iostandard "LVCMOS18" [get_ports "MIO[31]"]
156
set_property PACKAGE_PIN "F9" [get_ports "MIO[31]"]
157
set_property slew "fast" [get_ports "MIO[31]"]
158
set_property drive "8" [get_ports "MIO[31]"]
159
set_property pullup "TRUE" [get_ports "MIO[31]"]
160
#  USB 0 / stp / MIO[30]
161
set_property iostandard "LVCMOS18" [get_ports "MIO[30]"]
162
set_property PACKAGE_PIN "A11" [get_ports "MIO[30]"]
163
set_property slew "fast" [get_ports "MIO[30]"]
164
set_property drive "8" [get_ports "MIO[30]"]
165
set_property pullup "TRUE" [get_ports "MIO[30]"]
166
#  USB 0 / dir / MIO[29]
167
set_property iostandard "LVCMOS18" [get_ports "MIO[29]"]
168
set_property PACKAGE_PIN "E8" [get_ports "MIO[29]"]
169
set_property slew "fast" [get_ports "MIO[29]"]
170
set_property drive "8" [get_ports "MIO[29]"]
171
set_property pullup "TRUE" [get_ports "MIO[29]"]
172
#  USB 0 / data[4] / MIO[28]
173
set_property iostandard "LVCMOS18" [get_ports "MIO[28]"]
174
set_property PACKAGE_PIN "A12" [get_ports "MIO[28]"]
175
set_property slew "fast" [get_ports "MIO[28]"]
176
set_property drive "8" [get_ports "MIO[28]"]
177
set_property pullup "TRUE" [get_ports "MIO[28]"]
178
#  Enet 0 / rx_ctl / MIO[27]
179
set_property iostandard "HSTL_I_18" [get_ports "MIO[27]"]
180
set_property PACKAGE_PIN "D7" [get_ports "MIO[27]"]
181
set_property slew "fast" [get_ports "MIO[27]"]
182
set_property pullup "TRUE" [get_ports "MIO[27]"]
183
#  Enet 0 / rxd[3] / MIO[26]
184
set_property iostandard "HSTL_I_18" [get_ports "MIO[26]"]
185
set_property PACKAGE_PIN "A13" [get_ports "MIO[26]"]
186
set_property slew "fast" [get_ports "MIO[26]"]
187
set_property pullup "TRUE" [get_ports "MIO[26]"]
188
#  Enet 0 / rxd[2] / MIO[25]
189
set_property iostandard "HSTL_I_18" [get_ports "MIO[25]"]
190
set_property PACKAGE_PIN "F12" [get_ports "MIO[25]"]
191
set_property slew "fast" [get_ports "MIO[25]"]
192
set_property pullup "TRUE" [get_ports "MIO[25]"]
193
#  Enet 0 / rxd[1] / MIO[24]
194
set_property iostandard "HSTL_I_18" [get_ports "MIO[24]"]
195
set_property PACKAGE_PIN "B7" [get_ports "MIO[24]"]
196
set_property slew "fast" [get_ports "MIO[24]"]
197
set_property pullup "TRUE" [get_ports "MIO[24]"]
198
#  Enet 0 / rxd[0] / MIO[23]
199
set_property iostandard "HSTL_I_18" [get_ports "MIO[23]"]
200
set_property PACKAGE_PIN "E11" [get_ports "MIO[23]"]
201
set_property slew "fast" [get_ports "MIO[23]"]
202
set_property pullup "TRUE" [get_ports "MIO[23]"]
203
#  Enet 0 / rx_clk / MIO[22]
204
set_property iostandard "HSTL_I_18" [get_ports "MIO[22]"]
205
set_property PACKAGE_PIN "A14" [get_ports "MIO[22]"]
206
set_property slew "fast" [get_ports "MIO[22]"]
207
set_property pullup "TRUE" [get_ports "MIO[22]"]
208
#  Enet 0 / tx_ctl / MIO[21]
209
set_property iostandard "HSTL_I_18" [get_ports "MIO[21]"]
210
set_property PACKAGE_PIN "F11" [get_ports "MIO[21]"]
211
set_property slew "fast" [get_ports "MIO[21]"]
212
set_property pullup "TRUE" [get_ports "MIO[21]"]
213
#  Enet 0 / txd[3] / MIO[20]
214
set_property iostandard "HSTL_I_18" [get_ports "MIO[20]"]
215
set_property PACKAGE_PIN "A8" [get_ports "MIO[20]"]
216
set_property slew "fast" [get_ports "MIO[20]"]
217
set_property pullup "TRUE" [get_ports "MIO[20]"]
218
#  Enet 0 / txd[2] / MIO[19]
219
set_property iostandard "HSTL_I_18" [get_ports "MIO[19]"]
220
set_property PACKAGE_PIN "E10" [get_ports "MIO[19]"]
221
set_property slew "fast" [get_ports "MIO[19]"]
222
set_property pullup "TRUE" [get_ports "MIO[19]"]
223
#  Enet 0 / txd[1] / MIO[18]
224
set_property iostandard "HSTL_I_18" [get_ports "MIO[18]"]
225
set_property PACKAGE_PIN "A7" [get_ports "MIO[18]"]
226
set_property slew "fast" [get_ports "MIO[18]"]
227
set_property pullup "TRUE" [get_ports "MIO[18]"]
228
#  Enet 0 / txd[0] / MIO[17]
229
set_property iostandard "HSTL_I_18" [get_ports "MIO[17]"]
230
set_property PACKAGE_PIN "E9" [get_ports "MIO[17]"]
231
set_property slew "fast" [get_ports "MIO[17]"]
232
set_property pullup "TRUE" [get_ports "MIO[17]"]
233
#  Enet 0 / tx_clk / MIO[16]
234
set_property iostandard "HSTL_I_18" [get_ports "MIO[16]"]
235
set_property PACKAGE_PIN "D6" [get_ports "MIO[16]"]
236
set_property slew "fast" [get_ports "MIO[16]"]
237
set_property pullup "TRUE" [get_ports "MIO[16]"]
238
#  SD 0 / wp / MIO[15]
239
set_property iostandard "LVCMOS18" [get_ports "MIO[15]"]
240
set_property PACKAGE_PIN "E6" [get_ports "MIO[15]"]
241
set_property slew "fast" [get_ports "MIO[15]"]
242
set_property drive "8" [get_ports "MIO[15]"]
243
set_property pullup "TRUE" [get_ports "MIO[15]"]
244
#  GPIO / gpio[14] / MIO[14]
245
set_property iostandard "LVCMOS18" [get_ports "MIO[14]"]
246
set_property PACKAGE_PIN "B6" [get_ports "MIO[14]"]
247
set_property slew "slow" [get_ports "MIO[14]"]
248
set_property drive "8" [get_ports "MIO[14]"]
249
set_property pullup "TRUE" [get_ports "MIO[14]"]
250
#  GPIO / gpio[13] / MIO[13]
251
set_property iostandard "LVCMOS18" [get_ports "MIO[13]"]
252
set_property PACKAGE_PIN "A6" [get_ports "MIO[13]"]
253
set_property slew "slow" [get_ports "MIO[13]"]
254
set_property drive "8" [get_ports "MIO[13]"]
255
set_property pullup "TRUE" [get_ports "MIO[13]"]
256
#  GPIO / gpio[12] / MIO[12]
257
set_property iostandard "LVCMOS18" [get_ports "MIO[12]"]
258
set_property PACKAGE_PIN "C5" [get_ports "MIO[12]"]
259
set_property slew "slow" [get_ports "MIO[12]"]
260
set_property drive "8" [get_ports "MIO[12]"]
261
set_property pullup "TRUE" [get_ports "MIO[12]"]
262
#  GPIO / gpio[11] / MIO[11]
263
set_property iostandard "LVCMOS18" [get_ports "MIO[11]"]
264
set_property PACKAGE_PIN "B4" [get_ports "MIO[11]"]
265
set_property slew "slow" [get_ports "MIO[11]"]
266
set_property drive "8" [get_ports "MIO[11]"]
267
set_property pullup "TRUE" [get_ports "MIO[11]"]
268
#  GPIO / gpio[10] / MIO[10]
269
set_property iostandard "LVCMOS18" [get_ports "MIO[10]"]
270
set_property PACKAGE_PIN "G7" [get_ports "MIO[10]"]
271
set_property slew "slow" [get_ports "MIO[10]"]
272
set_property drive "8" [get_ports "MIO[10]"]
273
set_property pullup "TRUE" [get_ports "MIO[10]"]
274
#  GPIO / gpio[9] / MIO[9]
275
set_property iostandard "LVCMOS18" [get_ports "MIO[9]"]
276
set_property PACKAGE_PIN "C4" [get_ports "MIO[9]"]
277
set_property slew "slow" [get_ports "MIO[9]"]
278
set_property drive "8" [get_ports "MIO[9]"]
279
set_property pullup "TRUE" [get_ports "MIO[9]"]
280
#  Quad SPI Flash / qspi_fbclk / MIO[8]
281
set_property iostandard "LVCMOS18" [get_ports "MIO[8]"]
282
set_property PACKAGE_PIN "E5" [get_ports "MIO[8]"]
283
set_property slew "slow" [get_ports "MIO[8]"]
284
set_property drive "8" [get_ports "MIO[8]"]
285
#  GPIO / gpio[7] / MIO[7]
286
set_property iostandard "LVCMOS18" [get_ports "MIO[7]"]
287
set_property PACKAGE_PIN "D5" [get_ports "MIO[7]"]
288
set_property slew "slow" [get_ports "MIO[7]"]
289
set_property drive "8" [get_ports "MIO[7]"]
290
#  Quad SPI Flash / qspi0_sclk / MIO[6]
291
set_property iostandard "LVCMOS18" [get_ports "MIO[6]"]
292
set_property PACKAGE_PIN "A4" [get_ports "MIO[6]"]
293
set_property slew "fast" [get_ports "MIO[6]"]
294
set_property drive "8" [get_ports "MIO[6]"]
295
#  Quad SPI Flash / qspi0_io[3] / MIO[5]
296
set_property iostandard "LVCMOS18" [get_ports "MIO[5]"]
297
set_property PACKAGE_PIN "A3" [get_ports "MIO[5]"]
298
set_property slew "fast" [get_ports "MIO[5]"]
299
set_property drive "8" [get_ports "MIO[5]"]
300
#  Quad SPI Flash / qspi0_io[2] / MIO[4]
301
set_property iostandard "LVCMOS18" [get_ports "MIO[4]"]
302
set_property PACKAGE_PIN "E4" [get_ports "MIO[4]"]
303
set_property slew "fast" [get_ports "MIO[4]"]
304
set_property drive "8" [get_ports "MIO[4]"]
305
#  Quad SPI Flash / qspi0_io[1] / MIO[3]
306
set_property iostandard "LVCMOS18" [get_ports "MIO[3]"]
307
set_property PACKAGE_PIN "F6" [get_ports "MIO[3]"]
308
set_property slew "fast" [get_ports "MIO[3]"]
309
set_property drive "8" [get_ports "MIO[3]"]
310
#  Quad SPI Flash / qspi0_io[0] / MIO[2]
311
set_property iostandard "LVCMOS18" [get_ports "MIO[2]"]
312
set_property PACKAGE_PIN "A2" [get_ports "MIO[2]"]
313
set_property slew "fast" [get_ports "MIO[2]"]
314
set_property drive "8" [get_ports "MIO[2]"]
315
#  Quad SPI Flash / qspi0_ss_b / MIO[1]
316
set_property iostandard "LVCMOS18" [get_ports "MIO[1]"]
317
set_property PACKAGE_PIN "A1" [get_ports "MIO[1]"]
318
set_property slew "fast" [get_ports "MIO[1]"]
319
set_property drive "8" [get_ports "MIO[1]"]
320
set_property pullup "TRUE" [get_ports "MIO[1]"]
321
#  SD 0 / cd / MIO[0]
322
set_property iostandard "LVCMOS18" [get_ports "MIO[0]"]
323
set_property PACKAGE_PIN "G6" [get_ports "MIO[0]"]
324
set_property slew "fast" [get_ports "MIO[0]"]
325
set_property drive "8" [get_ports "MIO[0]"]
326
set_property pullup "TRUE" [get_ports "MIO[0]"]
327
set_property iostandard "SSTL15" [get_ports "DDR_WEB"]
328
set_property PACKAGE_PIN "R4" [get_ports "DDR_WEB"]
329
set_property slew "FAST" [get_ports "DDR_WEB"]
330
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_VRP"]
331
set_property PACKAGE_PIN "N7" [get_ports "DDR_VRP"]
332
set_property slew "FAST" [get_ports "DDR_VRP"]
333
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_VRN"]
334
set_property PACKAGE_PIN "M7" [get_ports "DDR_VRN"]
335
set_property slew "FAST" [get_ports "DDR_VRN"]
336
set_property iostandard "SSTL15" [get_ports "DDR_RAS_n"]
337
set_property PACKAGE_PIN "R5" [get_ports "DDR_RAS_n"]
338
set_property slew "FAST" [get_ports "DDR_RAS_n"]
339
set_property iostandard "SSTL15" [get_ports "DDR_ODT"]
340
set_property PACKAGE_PIN "P5" [get_ports "DDR_ODT"]
341
set_property slew "FAST" [get_ports "DDR_ODT"]
342
set_property iostandard "SSTL15" [get_ports "DDR_DRSTB"]
343
set_property PACKAGE_PIN "F3" [get_ports "DDR_DRSTB"]
344
set_property slew "FAST" [get_ports "DDR_DRSTB"]
345
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS[3]"]
346
set_property PACKAGE_PIN "V2" [get_ports "DDR_DQS[3]"]
347
set_property slew "FAST" [get_ports "DDR_DQS[3]"]
348
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS[2]"]
349
set_property PACKAGE_PIN "N2" [get_ports "DDR_DQS[2]"]
350
set_property slew "FAST" [get_ports "DDR_DQS[2]"]
351
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS[1]"]
352
set_property PACKAGE_PIN "H2" [get_ports "DDR_DQS[1]"]
353
set_property slew "FAST" [get_ports "DDR_DQS[1]"]
354
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS[0]"]
355
set_property PACKAGE_PIN "C2" [get_ports "DDR_DQS[0]"]
356
set_property slew "FAST" [get_ports "DDR_DQS[0]"]
357
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS_n[3]"]
358
set_property PACKAGE_PIN "W2" [get_ports "DDR_DQS_n[3]"]
359
set_property slew "FAST" [get_ports "DDR_DQS_n[3]"]
360
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS_n[2]"]
361
set_property PACKAGE_PIN "P2" [get_ports "DDR_DQS_n[2]"]
362
set_property slew "FAST" [get_ports "DDR_DQS_n[2]"]
363
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS_n[1]"]
364
set_property PACKAGE_PIN "J2" [get_ports "DDR_DQS_n[1]"]
365
set_property slew "FAST" [get_ports "DDR_DQS_n[1]"]
366
set_property iostandard "DIFF_SSTL15_T_DCI" [get_ports "DDR_DQS_n[0]"]
367
set_property PACKAGE_PIN "D2" [get_ports "DDR_DQS_n[0]"]
368
set_property slew "FAST" [get_ports "DDR_DQS_n[0]"]
369
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[9]"]
370
set_property PACKAGE_PIN "G1" [get_ports "DDR_DQ[9]"]
371
set_property slew "FAST" [get_ports "DDR_DQ[9]"]
372
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[8]"]
373
set_property PACKAGE_PIN "G2" [get_ports "DDR_DQ[8]"]
374
set_property slew "FAST" [get_ports "DDR_DQ[8]"]
375
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[7]"]
376
set_property PACKAGE_PIN "F1" [get_ports "DDR_DQ[7]"]
377
set_property slew "FAST" [get_ports "DDR_DQ[7]"]
378
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[6]"]
379
set_property PACKAGE_PIN "F2" [get_ports "DDR_DQ[6]"]
380
set_property slew "FAST" [get_ports "DDR_DQ[6]"]
381
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[5]"]
382
set_property PACKAGE_PIN "E1" [get_ports "DDR_DQ[5]"]
383
set_property slew "FAST" [get_ports "DDR_DQ[5]"]
384
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[4]"]
385
set_property PACKAGE_PIN "E3" [get_ports "DDR_DQ[4]"]
386
set_property slew "FAST" [get_ports "DDR_DQ[4]"]
387
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[3]"]
388
set_property PACKAGE_PIN "D3" [get_ports "DDR_DQ[3]"]
389
set_property slew "FAST" [get_ports "DDR_DQ[3]"]
390
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[31]"]
391
set_property PACKAGE_PIN "Y1" [get_ports "DDR_DQ[31]"]
392
set_property slew "FAST" [get_ports "DDR_DQ[31]"]
393
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[30]"]
394
set_property PACKAGE_PIN "W3" [get_ports "DDR_DQ[30]"]
395
set_property slew "FAST" [get_ports "DDR_DQ[30]"]
396
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[2]"]
397
set_property PACKAGE_PIN "B2" [get_ports "DDR_DQ[2]"]
398
set_property slew "FAST" [get_ports "DDR_DQ[2]"]
399
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[29]"]
400
set_property PACKAGE_PIN "Y3" [get_ports "DDR_DQ[29]"]
401
set_property slew "FAST" [get_ports "DDR_DQ[29]"]
402
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[28]"]
403
set_property PACKAGE_PIN "W1" [get_ports "DDR_DQ[28]"]
404
set_property slew "FAST" [get_ports "DDR_DQ[28]"]
405
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[27]"]
406
set_property PACKAGE_PIN "U2" [get_ports "DDR_DQ[27]"]
407
set_property slew "FAST" [get_ports "DDR_DQ[27]"]
408
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[26]"]
409
set_property PACKAGE_PIN "AA1" [get_ports "DDR_DQ[26]"]
410
set_property slew "FAST" [get_ports "DDR_DQ[26]"]
411
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[25]"]
412
set_property PACKAGE_PIN "U1" [get_ports "DDR_DQ[25]"]
413
set_property slew "FAST" [get_ports "DDR_DQ[25]"]
414
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[24]"]
415
set_property PACKAGE_PIN "AA3" [get_ports "DDR_DQ[24]"]
416
set_property slew "FAST" [get_ports "DDR_DQ[24]"]
417
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[23]"]
418
set_property PACKAGE_PIN "R1" [get_ports "DDR_DQ[23]"]
419
set_property slew "FAST" [get_ports "DDR_DQ[23]"]
420
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[22]"]
421
set_property PACKAGE_PIN "M2" [get_ports "DDR_DQ[22]"]
422
set_property slew "FAST" [get_ports "DDR_DQ[22]"]
423
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[21]"]
424
set_property PACKAGE_PIN "T2" [get_ports "DDR_DQ[21]"]
425
set_property slew "FAST" [get_ports "DDR_DQ[21]"]
426
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[20]"]
427
set_property PACKAGE_PIN "R3" [get_ports "DDR_DQ[20]"]
428
set_property slew "FAST" [get_ports "DDR_DQ[20]"]
429
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[1]"]
430
set_property PACKAGE_PIN "C3" [get_ports "DDR_DQ[1]"]
431
set_property slew "FAST" [get_ports "DDR_DQ[1]"]
432
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[19]"]
433
set_property PACKAGE_PIN "T1" [get_ports "DDR_DQ[19]"]
434
set_property slew "FAST" [get_ports "DDR_DQ[19]"]
435
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[18]"]
436
set_property PACKAGE_PIN "N3" [get_ports "DDR_DQ[18]"]
437
set_property slew "FAST" [get_ports "DDR_DQ[18]"]
438
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[17]"]
439
set_property PACKAGE_PIN "T3" [get_ports "DDR_DQ[17]"]
440
set_property slew "FAST" [get_ports "DDR_DQ[17]"]
441
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[16]"]
442
set_property PACKAGE_PIN "M1" [get_ports "DDR_DQ[16]"]
443
set_property slew "FAST" [get_ports "DDR_DQ[16]"]
444
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[15]"]
445
set_property PACKAGE_PIN "K3" [get_ports "DDR_DQ[15]"]
446
set_property slew "FAST" [get_ports "DDR_DQ[15]"]
447
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[14]"]
448
set_property PACKAGE_PIN "J1" [get_ports "DDR_DQ[14]"]
449
set_property slew "FAST" [get_ports "DDR_DQ[14]"]
450
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[13]"]
451
set_property PACKAGE_PIN "K1" [get_ports "DDR_DQ[13]"]
452
set_property slew "FAST" [get_ports "DDR_DQ[13]"]
453
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[12]"]
454
set_property PACKAGE_PIN "L3" [get_ports "DDR_DQ[12]"]
455
set_property slew "FAST" [get_ports "DDR_DQ[12]"]
456
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[11]"]
457
set_property PACKAGE_PIN "L2" [get_ports "DDR_DQ[11]"]
458
set_property slew "FAST" [get_ports "DDR_DQ[11]"]
459
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[10]"]
460
set_property PACKAGE_PIN "L1" [get_ports "DDR_DQ[10]"]
461
set_property slew "FAST" [get_ports "DDR_DQ[10]"]
462
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DQ[0]"]
463
set_property PACKAGE_PIN "D1" [get_ports "DDR_DQ[0]"]
464
set_property slew "FAST" [get_ports "DDR_DQ[0]"]
465
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DM[3]"]
466
set_property PACKAGE_PIN "AA2" [get_ports "DDR_DM[3]"]
467
set_property slew "FAST" [get_ports "DDR_DM[3]"]
468
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DM[2]"]
469
set_property PACKAGE_PIN "P1" [get_ports "DDR_DM[2]"]
470
set_property slew "FAST" [get_ports "DDR_DM[2]"]
471
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DM[1]"]
472
set_property PACKAGE_PIN "H3" [get_ports "DDR_DM[1]"]
473
set_property slew "FAST" [get_ports "DDR_DM[1]"]
474
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_DM[0]"]
475
set_property PACKAGE_PIN "B1" [get_ports "DDR_DM[0]"]
476
set_property slew "FAST" [get_ports "DDR_DM[0]"]
477
set_property iostandard "SSTL15" [get_ports "DDR_CS_n"]
478
set_property PACKAGE_PIN "P6" [get_ports "DDR_CS_n"]
479
set_property slew "FAST" [get_ports "DDR_CS_n"]
480
set_property iostandard "SSTL15" [get_ports "DDR_CKE"]
481
set_property PACKAGE_PIN "V3" [get_ports "DDR_CKE"]
482
set_property slew "FAST" [get_ports "DDR_CKE"]
483
set_property iostandard "DIFF_SSTL15" [get_ports "DDR_Clk"]
484
set_property PACKAGE_PIN "N4" [get_ports "DDR_Clk"]
485
set_property slew "FAST" [get_ports "DDR_Clk"]
486
set_property iostandard "DIFF_SSTL15" [get_ports "DDR_Clk_n"]
487
set_property PACKAGE_PIN "N5" [get_ports "DDR_Clk_n"]
488
set_property slew "FAST" [get_ports "DDR_Clk_n"]
489
set_property iostandard "SSTL15" [get_ports "DDR_CAS_n"]
490
set_property PACKAGE_PIN "P3" [get_ports "DDR_CAS_n"]
491
set_property slew "FAST" [get_ports "DDR_CAS_n"]
492
set_property iostandard "SSTL15" [get_ports "DDR_BankAddr[2]"]
493
set_property PACKAGE_PIN "M6" [get_ports "DDR_BankAddr[2]"]
494
set_property slew "FAST" [get_ports "DDR_BankAddr[2]"]
495
set_property iostandard "SSTL15" [get_ports "DDR_BankAddr[1]"]
496
set_property PACKAGE_PIN "L6" [get_ports "DDR_BankAddr[1]"]
497
set_property slew "FAST" [get_ports "DDR_BankAddr[1]"]
498
set_property iostandard "SSTL15" [get_ports "DDR_BankAddr[0]"]
499
set_property PACKAGE_PIN "L7" [get_ports "DDR_BankAddr[0]"]
500
set_property slew "FAST" [get_ports "DDR_BankAddr[0]"]
501
set_property iostandard "SSTL15" [get_ports "DDR_Addr[9]"]
502
set_property PACKAGE_PIN "H5" [get_ports "DDR_Addr[9]"]
503
set_property slew "FAST" [get_ports "DDR_Addr[9]"]
504
set_property iostandard "SSTL15" [get_ports "DDR_Addr[8]"]
505
set_property PACKAGE_PIN "J5" [get_ports "DDR_Addr[8]"]
506
set_property slew "FAST" [get_ports "DDR_Addr[8]"]
507
set_property iostandard "SSTL15" [get_ports "DDR_Addr[7]"]
508
set_property PACKAGE_PIN "J6" [get_ports "DDR_Addr[7]"]
509
set_property slew "FAST" [get_ports "DDR_Addr[7]"]
510
set_property iostandard "SSTL15" [get_ports "DDR_Addr[6]"]
511
set_property PACKAGE_PIN "J7" [get_ports "DDR_Addr[6]"]
512
set_property slew "FAST" [get_ports "DDR_Addr[6]"]
513
set_property iostandard "SSTL15" [get_ports "DDR_Addr[5]"]
514
set_property PACKAGE_PIN "K5" [get_ports "DDR_Addr[5]"]
515
set_property slew "FAST" [get_ports "DDR_Addr[5]"]
516
set_property iostandard "SSTL15" [get_ports "DDR_Addr[4]"]
517
set_property PACKAGE_PIN "K6" [get_ports "DDR_Addr[4]"]
518
set_property slew "FAST" [get_ports "DDR_Addr[4]"]
519
set_property iostandard "SSTL15" [get_ports "DDR_Addr[3]"]
520
set_property PACKAGE_PIN "L4" [get_ports "DDR_Addr[3]"]
521
set_property slew "FAST" [get_ports "DDR_Addr[3]"]
522
set_property iostandard "SSTL15" [get_ports "DDR_Addr[2]"]
523
set_property PACKAGE_PIN "K4" [get_ports "DDR_Addr[2]"]
524
set_property slew "FAST" [get_ports "DDR_Addr[2]"]
525
set_property iostandard "SSTL15" [get_ports "DDR_Addr[1]"]
526
set_property PACKAGE_PIN "M5" [get_ports "DDR_Addr[1]"]
527
set_property slew "FAST" [get_ports "DDR_Addr[1]"]
528
set_property iostandard "SSTL15" [get_ports "DDR_Addr[14]"]
529
set_property PACKAGE_PIN "G4" [get_ports "DDR_Addr[14]"]
530
set_property slew "FAST" [get_ports "DDR_Addr[14]"]
531
set_property iostandard "SSTL15" [get_ports "DDR_Addr[13]"]
532
set_property PACKAGE_PIN "F4" [get_ports "DDR_Addr[13]"]
533
set_property slew "FAST" [get_ports "DDR_Addr[13]"]
534
set_property iostandard "SSTL15" [get_ports "DDR_Addr[12]"]
535
set_property PACKAGE_PIN "H4" [get_ports "DDR_Addr[12]"]
536
set_property slew "FAST" [get_ports "DDR_Addr[12]"]
537
set_property iostandard "SSTL15" [get_ports "DDR_Addr[11]"]
538
set_property PACKAGE_PIN "G5" [get_ports "DDR_Addr[11]"]
539
set_property slew "FAST" [get_ports "DDR_Addr[11]"]
540
set_property iostandard "SSTL15" [get_ports "DDR_Addr[10]"]
541
set_property PACKAGE_PIN "J3" [get_ports "DDR_Addr[10]"]
542
set_property slew "FAST" [get_ports "DDR_Addr[10]"]
543
set_property iostandard "SSTL15" [get_ports "DDR_Addr[0]"]
544
set_property PACKAGE_PIN "M4" [get_ports "DDR_Addr[0]"]
545
set_property slew "FAST" [get_ports "DDR_Addr[0]"]
546
set_property iostandard "LVCMOS18" [get_ports "PS_PORB"]
547
set_property PACKAGE_PIN "B5" [get_ports "PS_PORB"]
548
set_property slew "fast" [get_ports "PS_PORB"]
549
set_property drive "8" [get_ports "PS_PORB"]
550
set_property iostandard "LVCMOS18" [get_ports "PS_SRSTB"]
551
set_property PACKAGE_PIN "C9" [get_ports "PS_SRSTB"]
552
set_property slew "fast" [get_ports "PS_SRSTB"]
553
set_property drive "8" [get_ports "PS_SRSTB"]
554
set_property iostandard "LVCMOS18" [get_ports "PS_CLK"]
555
set_property PACKAGE_PIN "F7" [get_ports "PS_CLK"]
556
set_property slew "fast" [get_ports "PS_CLK"]
557
set_property drive "8" [get_ports "PS_CLK"]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.