OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [rtl/] [altera/] [virtual_jtag_adda_fifo.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ash_riple
//**************************************************************
2
// Module             : virtual_jtag_adda_fifo.v
3
// Platform           : Windows xp sp2
4
// Simulator          : Modelsim 6.5b
5
// Synthesizer        : QuartusII 10.1 sp1
6
// Place and Route    : QuartusII 10.1 sp1
7
// Targets device     : Cyclone III
8
// Author             : Bibo Yang  (ash_riple@hotmail.com)
9
// Organization       : www.opencores.org
10 6 ash_riple
// Revision           : 2.1 
11
// Date               : 2012/03/15
12 5 ash_riple
// Description        : addr/data capture output to debug host
13
//                      via Virtual JTAG.
14
//**************************************************************
15
 
16 9 ash_riple
`include "../../sim/altera/jtag_sim_define.h"
17 5 ash_riple
`timescale 1ns/1ns
18
 
19 2 ash_riple
module virtual_jtag_adda_fifo(clk,wr_en,data_in);
20
 
21
parameter data_width  = 32,
22
          fifo_depth  = 256,
23
          addr_width  = 8,
24
          al_full_val = 255,
25
          al_empt_val = 0;
26
 
27
input clk;
28
input wr_en;
29
input [data_width-1:0] data_in;
30
 
31
wire tdi, tck, cdr, cir, e1dr, e2dr, pdr, sdr, udr, uir;
32
reg  tdo;
33
reg  [addr_width-1:0] usedw_instr_reg;
34
reg  reset_instr_reg;
35
reg  [data_width-1:0] read_instr_reg;
36
reg  bypass_reg;
37
 
38
wire [1:0] ir_in;
39
wire usedw_instr  = ~ir_in[1] &  ir_in[0]; // 1
40
wire reset_instr  =  ir_in[1] & ~ir_in[0]; // 2
41
wire read_instr   =  ir_in[1] &  ir_in[0]; // 3
42
 
43
wire reset = reset_instr && e1dr;
44
 
45
wire [addr_width-1:0] usedw;
46
wire [data_width-1:0] data_out;
47
wire full;
48
wire al_full;
49
 
50
reg read_instr_d1;
51
reg read_instr_d2;
52
reg read_instr_d3;
53
wire rd_en = read_instr_d2 & !read_instr_d3;
54
always @(posedge clk or posedge reset)
55
begin
56
  if (reset)
57
  begin
58
    read_instr_d1 <= 1'b0;
59
    read_instr_d2 <= 1'b0;
60
    read_instr_d3 <= 1'b0;
61
  end
62
  else
63
  begin
64
    read_instr_d1 <= read_instr;
65
    read_instr_d2 <= read_instr_d1;
66
    read_instr_d3 <= read_instr_d2;
67
  end
68
end
69
 
70
scfifo  jtag_fifo (
71
        .aclr (reset),
72
        .clock (clk),
73
        .wrreq (wr_en & !al_full),
74
        .data (data_in),
75
        .rdreq (rd_en),
76
        .q (data_out),
77
        .full (full),
78
        .almost_full (al_full),
79
        .empty (),
80
        .almost_empty (),
81
        .usedw (usedw),
82
        .sclr ());
83
    defparam
84
        jtag_fifo.lpm_width = data_width,
85
        jtag_fifo.lpm_numwords = fifo_depth,
86
        jtag_fifo.lpm_widthu = addr_width,
87
        jtag_fifo.intended_device_family = "Stratix II",
88
        jtag_fifo.almost_full_value = al_full_val,
89
        jtag_fifo.almost_empty_value = al_empt_val,
90
        jtag_fifo.lpm_type = "scfifo",
91
        jtag_fifo.lpm_showahead = "OFF",
92
        jtag_fifo.overflow_checking = "ON",
93
        jtag_fifo.underflow_checking = "ON",
94
        jtag_fifo.use_eab = "ON",
95
        jtag_fifo.add_ram_output_register = "ON";
96
 
97
 
98
/* usedw_instr Instruction Handler */
99
always @ (posedge tck)
100
  if ( usedw_instr && cdr )
101
    usedw_instr_reg <= usedw;
102
  else if ( usedw_instr && sdr )
103
    usedw_instr_reg <= {tdi, usedw_instr_reg[addr_width-1:1]};
104
 
105
/* reset_instr Instruction Handler */
106
always @ (posedge tck)
107
  if ( reset_instr && sdr )
108
    reset_instr_reg <= tdi;//{tdi, reset_instr_reg[data_width-1:1]};
109
 
110
/* read_instr Instruction Handler */
111
always @ (posedge tck)
112
  if ( read_instr && cdr )
113
    read_instr_reg <= data_out;
114
  else if ( read_instr && sdr )
115
    read_instr_reg <= {tdi, read_instr_reg[data_width-1:1]};
116
 
117
/* Bypass register */
118
always @ (posedge tck)
119
  bypass_reg = tdi;
120
 
121
/* Node TDO Output */
122
always @ ( usedw_instr, reset_instr, read_instr, usedw_instr_reg[0], reset_instr_reg/*[0]*/, read_instr_reg[0], bypass_reg )
123
begin
124
  if (usedw_instr)
125
    tdo <= usedw_instr_reg[0];
126
  else if (reset_instr)
127
    tdo <= reset_instr_reg/*[0]*/;
128
  else if (read_instr)
129
    tdo <= read_instr_reg[0];
130
  else
131
    tdo <= bypass_reg;          // Used to maintain the continuity of the scan chain.
132
end
133
 
134
sld_virtual_jtag        sld_virtual_jtag_component (
135
                                .ir_in (ir_in),
136
                                .ir_out (2'b0),
137
                                .tdo (tdo),
138
                                .tdi (tdi),
139
                                .tms (),
140
                                .tck (tck),
141
                                .virtual_state_cir (cir),
142
                                .virtual_state_pdr (pdr),
143
                                .virtual_state_uir (uir),
144
                                .virtual_state_sdr (sdr),
145
                                .virtual_state_cdr (cdr),
146
                                .virtual_state_udr (udr),
147
                                .virtual_state_e1dr (e1dr),
148
                                .virtual_state_e2dr (e2dr),
149
                                .jtag_state_rti (),
150
                                .jtag_state_e1dr (),
151
                                .jtag_state_e2dr (),
152
                                .jtag_state_pir (),
153
                                .jtag_state_tlr (),
154
                                .jtag_state_sir (),
155
                                .jtag_state_cir (),
156
                                .jtag_state_uir (),
157
                                .jtag_state_pdr (),
158
                                .jtag_state_sdrs (),
159
                                .jtag_state_sdr (),
160
                                .jtag_state_cdr (),
161
                                .jtag_state_udr (),
162
                                .jtag_state_sirs (),
163
                                .jtag_state_e1ir (),
164
                                .jtag_state_e2ir ());
165
        defparam
166
                sld_virtual_jtag_component.sld_auto_instance_index = "NO",
167
                sld_virtual_jtag_component.sld_instance_index = 0,
168
                sld_virtual_jtag_component.sld_ir_width = 2,
169 9 ash_riple
                sld_virtual_jtag_component.sld_sim_action       = `FIFO_SLD_SIM_ACTION,
170
                sld_virtual_jtag_component.sld_sim_n_scan       = `FIFO_SLD_SIM_N_SCAN,
171
                sld_virtual_jtag_component.sld_sim_total_length = `FIFO_SLD_SIM_T_LENG;
172 2 ash_riple
 
173
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.