OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [rtl/] [up_monitor.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ash_riple
 
2
module up_monitor (up_clk,up_wbe,up_csn,up_addr,up_data_io);
3
 
4
input up_clk,up_wbe,up_csn;
5
input [15:2] up_addr;
6
input [31:0] up_data_io;
7
 
8
reg up_csn_d1, up_csn_d2, up_csn_d3, up_csn_d4;
9
wire up_csn_neg_pulse;
10
wire [47:0] up_bus_content;
11
 
12
wire [49:0] trig_condition;
13
wire            trig_en         = trig_condition[49];
14
wire            trig_set        = trig_condition[48];
15
wire [15:0] trig_addr    = trig_condition[47:32];
16
wire [31:0] trig_data    = trig_condition[31:0];
17
reg trig_condition_ok;
18
 
19
wire [31:0]      addr_mask0,addr_mask1,addr_mask2,addr_mask3,addr_mask4,addr_mask5,addr_mask6,addr_mask7,
20
                        addr_mask8,addr_mask9,addr_mask10,addr_mask11,addr_mask12,addr_mask13,addr_mask14,addr_mask15;
21
reg addr_mask_ok;
22
 
23
always @(posedge up_clk)
24
begin
25
        up_csn_d1 <= up_csn || up_wbe;
26
        up_csn_d2 <= up_csn_d1;
27
        up_csn_d3 <= up_csn_d2;
28
        up_csn_d4 <= up_csn_d3;
29
end
30
 
31
always @(posedge up_clk)
32
begin
33
        if ((   (up_addr[15:2]<=addr_mask0[31:18] && up_addr[15:2]>=addr_mask0[15:2]) ||
34
                        (up_addr[15:2]<=addr_mask1[31:18] && up_addr[15:2]>=addr_mask1[15:2]) ||
35
                        (up_addr[15:2]<=addr_mask2[31:18] && up_addr[15:2]>=addr_mask2[15:2]) ||
36
                        (up_addr[15:2]<=addr_mask3[31:18] && up_addr[15:2]>=addr_mask3[15:2]) ||
37
                        (up_addr[15:2]<=addr_mask4[31:18] && up_addr[15:2]>=addr_mask4[15:2]) ||
38
                        (up_addr[15:2]<=addr_mask5[31:18] && up_addr[15:2]>=addr_mask5[15:2]) ||
39
                        (up_addr[15:2]<=addr_mask6[31:18] && up_addr[15:2]>=addr_mask6[15:2]) ||
40
                        (up_addr[15:2]<=addr_mask7[31:18] && up_addr[15:2]>=addr_mask7[15:2])
41
                ) //inclusive address set
42
                &&
43
                (       (up_addr[15:2]>addr_mask8 [31:18] || up_addr[15:2]<addr_mask8 [15:2]) &&
44
                        (up_addr[15:2]>addr_mask9 [31:18] || up_addr[15:2]<addr_mask9 [15:2]) &&
45
                        (up_addr[15:2]>addr_mask10[31:18] || up_addr[15:2]<addr_mask10[15:2]) &&
46
                        (up_addr[15:2]>addr_mask11[31:18] || up_addr[15:2]<addr_mask11[15:2]) &&
47
                        (up_addr[15:2]>addr_mask12[31:18] || up_addr[15:2]<addr_mask12[15:2]) &&
48
                        (up_addr[15:2]>addr_mask13[31:18] || up_addr[15:2]<addr_mask13[15:2]) &&
49
                        (up_addr[15:2]>addr_mask14[31:18] || up_addr[15:2]<addr_mask14[15:2]) &&
50
                        (up_addr[15:2]>addr_mask15[31:18] || up_addr[15:2]<addr_mask15[15:2])
51
            ) //exclusive address set
52
        )
53
                        addr_mask_ok <= 1;
54
                else
55
                        addr_mask_ok <= 0;
56
end
57
 
58
always @(posedge up_clk)
59
begin
60
        if (trig_en==0)
61
                trig_condition_ok <= 1;
62
        else if (trig_set==0)
63
                trig_condition_ok <= 0;
64
        else if (up_csn_d1==0 && up_csn_d2==1)
65
                if (trig_addr[15:2]==up_addr[15:2] && trig_data==up_data_io)
66
                        trig_condition_ok <= 1;
67
end
68
 
69
assign up_csn_neg_pulse = !up_csn_d3 && up_csn_d4 && addr_mask_ok && trig_condition_ok;
70
assign up_bus_content   = {up_addr[15:2],2'b0,up_data_io[31:0]};
71
 
72
virtual_jtag_adda_fifo u_virtual_jtag_adda_fifo (
73
        .clk(up_clk),
74
        .wr_en(up_csn_neg_pulse),
75
        .data_in(up_bus_content)
76
        );
77
defparam
78
        u_virtual_jtag_adda_fifo.data_width     = 48,
79
        u_virtual_jtag_adda_fifo.fifo_depth     = 512,
80
        u_virtual_jtag_adda_fifo.addr_width     = 9,
81
        u_virtual_jtag_adda_fifo.al_full_val    = 511,
82
        u_virtual_jtag_adda_fifo.al_empt_val    = 0;
83
 
84
virtual_jtag_addr_mask u_virtual_jtag_addr_mask (
85
        .mask_out0(addr_mask0),
86
        .mask_out1(addr_mask1),
87
        .mask_out2(addr_mask2),
88
        .mask_out3(addr_mask3),
89
        .mask_out4(addr_mask4),
90
        .mask_out5(addr_mask5),
91
        .mask_out6(addr_mask6),
92
        .mask_out7(addr_mask7),
93
        .mask_out8(addr_mask8),
94
        .mask_out9(addr_mask9),
95
        .mask_out10(addr_mask10),
96
        .mask_out11(addr_mask11),
97
        .mask_out12(addr_mask12),
98
        .mask_out13(addr_mask13),
99
        .mask_out14(addr_mask14),
100
        .mask_out15(addr_mask15)
101
        );
102
defparam
103
        u_virtual_jtag_addr_mask.addr_width     = 32,
104
        u_virtual_jtag_addr_mask.mask_index     = 4,
105
        u_virtual_jtag_addr_mask.mask_num       = 16;
106
 
107
virtual_jtag_adda_trig u_virtual_jtag_adda_trig (
108
        .trig_out(trig_condition)
109
        );
110
defparam
111
        u_virtual_jtag_adda_trig.trig_width     = 50;
112
 
113
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.