OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [sim/] [altera/] [sim.do] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ash_riple
quit -sim
2
vlib work
3
vdel -lib work -all
4
vlib work
5
 
6
set sim_started 0
7
 
8
# compile vendor independent files
9
vlog -work work ../../rtl/up_monitor.v
10
vlog -work work ../../rtl/up_monitor_wrapper.v
11
 
12
# compile altera virtual jtag files
13
source virtual_jtag_stimulus.tcl
14 10 ash_riple
vlog -work work ../../rtl/altera/virtual_jtag_adda_fifo.v +incdir+../../rtl/altera
15
vlog -work work ../../rtl/altera/virtual_jtag_adda_trig.v +incdir+../../rtl/altera
16
vlog -work work ../../rtl/altera/virtual_jtag_addr_mask.v +incdir+../../rtl/altera
17 9 ash_riple
vlog -work work altera_mf.v
18
 
19
# compile testbench files
20
vlog -work work -sv ../up_monitor_tb.v
21
 
22
# compile register bfm files
23
vlog -work work -sv ../reg_bfm_sv.v
24
 
25
# compile cpu bfm files
26
# Sytemverilog DPI steps to combine sv and c
27
# step 1: generate dpiheader.h
28
vlog -work work -sv -dpiheader ../dpiheader.h ../up_bfm_sv.v
29
# step 2: generate up_bfm_sv.obj
30
vsim -dpiexportobj up_bfm_sv up_bfm_sv
31
# step 3: generate up_bfm_c.o
32
gcc -c -I $::env(MODEL_TECH)/../include ../up_bfm_c.c
33
# step 4: generate up_bfm_c.dll
34
gcc -shared -Bsymbolic -o up_bfm_c.dll up_bfm_c.o \
35
    up_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli
36
 
37
# compile jtag bfms files
38 10 ash_riple
vlog -work work -sv jtag_bfm_sv.v +incdir+../../rtl/altera
39 9 ash_riple
 
40
vsim -novopt \
41
     -sv_lib up_bfm_c \
42
     -t ps \
43
     up_monitor_tb
44
 
45
set sim_started 1
46
 
47
log -r */*
48
radix -hexadecimal
49
do wave.do
50
 
51
run 10000ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.