OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [sim/] [up_monitor_tb.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ash_riple
//**************************************************************
2
// Module             : up_monitor_tb.v
3
// Platform           : Windows xp sp2
4
// Simulator          : Modelsim 6.5b
5
// Synthesizer        : 
6
// Place and Route    : 
7
// Targets device     : 
8
// Author             : Bibo Yang  (ash_riple@hotmail.com)
9
// Organization       : www.opencores.org
10
// Revision           : 2.1 
11
// Date               : 2012/03/19
12
// Description        : up_monitor testbench at both pin level 
13
//                      and transaction level
14
//**************************************************************
15
 
16
`timescale 1ns/1ns
17
 
18
module up_monitor_tb ();
19
 
20
reg cpu_start;
21
reg up_clk;
22
wire up_wbe, up_csn;
23
wire [15:2] up_addr;
24
wire [31:0] up_data_io;
25
initial begin
26
             up_clk = 1'b0;
27
  forever #5 up_clk = !up_clk;
28
end
29
 
30
// pin level DUT
31
up_monitor_wrapper MON_LO (
32
  .up_clk(up_clk),
33
  .up_wbe(),  // negative logic
34
  .up_csn(),  // negative logic
35
  .up_addr(),
36
  .up_data_io()
37
);
38
 
39
up_bfm_sv CPU (
40
  .up_clk(up_clk),
41
  .up_wbe(up_wbe),  // negative logic
42
  .up_csn(up_csn),  // negative logic
43
  .up_addr(up_addr),
44
  .up_data_io(up_data_io)
45
);
46
 
47
reg_bfm_sv REG (
48
  .up_clk(up_clk),
49
  .up_wbe(up_wbe),  // negative logic
50
  .up_csn(up_csn),  // negative logic
51
  .up_addr({up_addr,2'b00}),
52
  .up_data_io(up_data_io)
53
);
54
 
55
jtag_bfm_sv JTAG (
56
);
57
 
58
assign MON_LO.up_wbe     = up_wbe;
59
assign MON_LO.up_csn     = up_csn;
60
assign MON_LO.up_addr    = up_addr;
61
assign MON_LO.up_data_io = up_data_io;
62
 
63
initial begin
64
        up_monitor_tb.CPU.up_start = 0;
65
        @(posedge up_monitor_tb.JTAG.jtag_sim_done);
66
        up_monitor_tb.CPU.up_start = 1;
67
        #100000000 $stop;
68
end
69
 
70
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.