OpenCores
URL https://opencores.org/ocsvn/c0or1k/c0or1k/trunk

Subversion Repositories c0or1k

[/] [c0or1k/] [trunk/] [conts/] [test_suite0/] [include/] [tests.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 drasko
#ifndef __TESTS_H__
2
#define __TESTS_H__
3
 
4
/* Abort debugging conditions */
5
#define DEBUG_TESTS 0
6
#if DEBUG_TESTS
7
#define dbg_printf(...) printf(__VA_ARGS__)
8
#else
9
#define dbg_printf(...)
10
#endif
11
 
12
int test_smp();
13
int test_performance();
14
int test_api();
15
int test_cli_serv();
16
int test_mthread();
17
 
18
#endif /* __TESTS_H__ */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.