OpenCores
URL https://opencores.org/ocsvn/c0or1k/c0or1k/trunk

Subversion Repositories c0or1k

[/] [c0or1k/] [trunk/] [conts/] [test_suite0/] [include/] [timer.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 drasko
#ifndef __PERF_TESTS_TIMER_H__
2
#define __PERF_TESTS_TIMER_H__
3
 
4
#include <libdev/timer.h>
5
 
6
extern unsigned long timer_base;
7
void perf_timer_init(void);
8
 
9
#endif /* __PERF_TESTS_TIMER_H__ */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.