OpenCores
URL https://opencores.org/ocsvn/c0or1k/c0or1k/trunk

Subversion Repositories c0or1k

[/] [c0or1k/] [trunk/] [src/] [platform/] [eb/] [timer.c] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 drasko
/*
2
 * Ties up platform timer with generic timer api
3
 *
4
 * Copyright (C) 2007 Bahadir Balban
5
 *
6
 */
7
#include <l4/generic/irq.h>
8
#include <l4/generic/platform.h>
9
#include INC_PLAT(platform.h)
10
#include <l4/drivers/timer/sp804/sp804_timer.h>
11
#include <l4/drivers/misc/sp810/sp810_sysctrl.h>
12
 
13
void timer_init(void)
14
{
15
        /* Set timer 0 to 1MHz */
16
        sp810_set_timclk(PLATFORM_TIMER0, 1);
17
 
18
        /* Initialise timer */
19
        sp804_init(PLATFORM_TIMER0_BASE, SP804_TIMER_RUNMODE_PERIODIC, \
20
                   SP804_TIMER_WRAPMODE_WRAPPING, SP804_TIMER_WIDTH32BIT, \
21
                   SP804_TIMER_IRQENABLE);
22
}
23
 
24
void timer_start(void)
25
{
26
        irq_enable(IRQ_TIMER01);
27
        sp804_enable(PLATFORM_TIMER0_BASE, 1);  /* Enable timer0 */
28
}
29
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.