OpenCores
URL https://opencores.org/ocsvn/c16/c16/trunk

Subversion Repositories c16

[/] [c16/] [trunk/] [test.sym] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jsauermann
000B mult_div
2
001C Cserial_in_buffer
3
002C Cserial_in_get
4
002D Cserial_in_put
5
002E Cserial_in_length
6
002F Cserial_in_overflow
7
0030 Crx_interrupt
8
005D L2_else_1
9
0063 L2_endif_1
10
0066 Cserial_out_buffer
11
0076 Cserial_out_get
12
0077 Cserial_out_put
13
0078 Cserial_out_length
14
0079 Ctx_interrupt
15
009E L3_else_2
16
00A1 L3_endif_2
17
00A2 Cmilliseconds
18
00A4 Cseconds_low
19
00A6 Cseconds_mid
20
00A8 Cseconds_high
21
00AA Cseconds_changed
22
00AB Ctimer_interrupt
23
00E3 L4_endif_5
24
00E3 L4_endif_4
25
00E3 L4_endif_3
26
00E4 Cinterrupt
27
00F1 L5_endif_6
28
00FB L5_endif_7
29
0105 L5_endif_8
30
010A Cstrlen
31
0110 L6_loop_9
32
0116 L6_cont_9
33
011C L6_brk_10
34
0128 Cputchr
35
0128 L7_loop_11
36
0128 L7_cont_11
37
0130 L7_brk_12
38
0156 Cprint_string
39
0159 L8_loop_13
40
0166 L8_cont_13
41
016C L8_brk_14
42
016D Cprint_hex
43
018B L9_endif_15
44
01A9 L9_endif_16
45
01C6 L9_endif_17
46
01E2 Cprint_unsigned
47
0212 L10_endif_18
48
0242 L10_endif_19
49
026F L10_endif_20
50
029C L10_endif_21
51
02B2 Cprint_item
52
02D2 L11_endif_22
53
02DA L11_endif_23
54
02E3 L11_endif_25
55
02F0 L11_endif_24
56
030F L11_loop_27
57
0317 L11_cont_27
58
031D L11_tst_27
59
0326 L11_brk_28
60
0326 L11_endif_26
61
0333 L11_endif_29
62
0347 L11_endif_31
63
0347 L11_endif_30
64
034D L11_loop_32
65
0355 L11_cont_32
66
035B L11_tst_32
67
0364 L11_brk_33
68
037A L11_loop_35
69
0382 L11_cont_35
70
0388 L11_tst_35
71
0391 L11_brk_36
72
0391 L11_endif_34
73
0399 Cprintf
74
03AF L12_loop_37
75
03C6 L12_endif_39
76
03DA L12_loop_40
77
0459 L12_case_42_0058
78
0493 L12_case_42_0064
79
04A9 L12_endif_43
80
04DF L12_case_42_0073
81
050B L12_case_42_0075
82
0541 L12_case_42_0078
83
057B L12_case_42_0063
84
0590 L12_case_42_0023
85
0599 L12_case_42_002D
86
05A2 L12_case_42_0020
87
05AC L12_endif_44
88
05AF L12_case_42_002B
89
05B6 L12_case_42_002E
90
05BD L12_case_42_0030
91
05D9 L12_else_45
92
05DD L12_endif_45
93
05E0 L12_case_42_0031
94
05F7 L12_case_42_0032
95
060E L12_case_42_0033
96
0625 L12_case_42_0034
97
063C L12_case_42_0035
98
0653 L12_case_42_0036
99
066A L12_case_42_0037
100
0681 L12_case_42_0038
101
0698 L12_case_42_0039
102
06AF L12_case_42_002A
103
06BF L12_case_42_0000
104
06C8 L12_deflt_42
105
06D8 L12_brk_42
106
06DB L12_cont_40
107
06DE L12_brk_41
108
06DE L12_cont_37
109
06EA L12_brk_38
110
06F2 Cgetchr
111
06F3 L13_loop_46
112
06F3 L13_cont_46
113
06FA L13_brk_47
114
0720 Cpeekchr
115
0720 L14_loop_48
116
0720 L14_cont_48
117
0727 L14_brk_49
118 7 jsauermann
0730 Cgetnibble
119
0743 L15_endif_51
120
074F L15_else_50
121
075A L15_endif_53
122
0766 L15_else_52
123
0771 L15_endif_55
124
077A L15_endif_54
125
077A L15_endif_52
126
077A L15_endif_50
127
0792 L15_endif_57
128
0792 L15_endif_56
129
079A Cgethex
130
079F L16_loop_58
131
07A9 L16_cont_58
132
07B9 L16_brk_59
133
07C1 Cshow_time
134
07C4 L17_loop_60
135
07D7 L17_cont_60
136
07DD L17_brk_61
137
07F2 Cdisplay_memory
138
07FB L18_loop_62
139
080D L18_loop_64
140
081E L18_cont_64
141
0824 L18_tst_64
142
082B L18_brk_65
143
0840 L18_loop_66
144
085B L18_else_68
145
086D L18_else_69
146
0875 L18_endif_69
147
0875 L18_endif_68
148
0875 L18_cont_66
149
087B L18_tst_66
150
0882 L18_brk_67
151
088B L18_cont_62
152
0891 L18_tst_62
153
0898 L18_brk_63
154
089B Cmain
155
08A2 L19_loop_70
156
08B5 L19_endif_72
157
091B L19_case_73_000D
158
091B L19_case_73_000A
159
093E L19_endif_74
160
0944 L19_case_73_0043
161
0944 L19_case_73_0063
162
094A L19_case_73_0044
163
094A L19_case_73_0064
164
0977 L19_case_73_0045
165
0977 L19_case_73_0065
166
0998 L19_case_73_004D
167
0998 L19_case_73_006D
168
09D2 L19_case_73_0053
169
09D2 L19_case_73_0073
170
09E1 L19_case_73_0054
171
09E1 L19_case_73_0074
172
09F0 L19_case_73_0051
173
09F0 L19_case_73_0071
174
09F0 L19_case_73_0058
175
09F0 L19_case_73_0078
176
09F9 L19_loop_75
177
09F9 L19_cont_75
178
09FF L19_brk_76
179
0A04 L19_deflt_73
180
0A0D L19_brk_73
181
0A0D L19_cont_70
182
0A10 L19_brk_71
183
0A13 Cstr_19
184
0A24 Cstr_20
185
0A27 Cstr_21
186
0A28 Cstr_22
187
0A29 Cstr_23
188
0A2A Cstr_24
189
0A3B Cstr_25
190
0A3E Cstr_28
191
0A62 Cstr_29
192
0A69 Cstr_30
193
0A70 Cstr_31
194
0A74 Cstr_32
195
0A77 Cstr_35
196
0A7B Cstr_36
197
0A80 Cstr_37
198
0A89 Cstr_38
199
0A8C Cstr_39
200
0A92 Cstr_41
201
0A95 Cstr_42
202
0A9D Cstr_43
203
0AA5 Cstr_44
204
0AA8 Cstr_45
205
0ABD Cstr_47
206
0AE1 Cstr_49
207
0AEB Cstr_52
208
0B73 Cend_text

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.