OpenCores
URL https://opencores.org/ocsvn/cachecontroller/cachecontroller/trunk

Subversion Repositories cachecontroller

[/] [cachecontroller/] [trunk/] [rtl/] [verilog/] [RAMB16_S8.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 chinthakaa
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: Chinthaka A.K.
5
// 
6
// Create Date:    06:35:12 12/08/2009 
7
// Design Name: 
8
// Module Name:    RAMB16_S8 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module RAMB16_S8(CLK,EN,WE,ADDR,DI,DO);
22
        input CLK;
23
        input EN;
24
        input WE;
25
        input [10:0] ADDR;
26
        input [7:0] DI;
27
        output [7:0] DO;
28
 
29
        reg [7:0] RAM [2047:0];
30
        reg [10:0] REG_ADDR;
31
 
32
        // initialize memory
33
        reg [11:0]count;
34
 
35
        initial begin
36
                for (count=0;count<2048;count=count+1) RAM[count]=0;
37
        end
38
 
39
        always @(negedge CLK)
40
                begin
41
                        if (EN)
42
                                begin
43
                                        if (WE) RAM[ADDR] <= DI;
44
 
45
                                        REG_ADDR <= ADDR;
46
                                end
47
                end
48
        assign DO = RAM[REG_ADDR];
49
 
50
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.