OpenCores
URL https://opencores.org/ocsvn/cachecontroller/cachecontroller/trunk

Subversion Repositories cachecontroller

[/] [cachecontroller/] [trunk/] [rtl/] [verilog/] [t_memory_bank.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 chinthakaa
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: Chinthaka A.K.
5
// 
6
// Create Date:    11:18:48 12/08/2009 
7
// Design Name: 
8
// Module Name:    t_memory_bank 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module t_memory_bank;
22
   // clock and reset signal
23
   reg CLK,EN,WE;
24
        reg [2:0]SELECT;
25
        reg [13:0] ADDR;
26
        reg [7:0]DI;
27
        wire [7:0]DO_BUF;
28
 
29
   initial begin CLK = 1'b0;
30
      repeat(200) #10 CLK=~CLK;
31
   end
32
 
33
   // memory bank
34
   memory_bank MB(CLK,EN,WE,SELECT,ADDR,DI,DO_BUF);
35
 
36
   // clock generation
37
   //initial begin clock=0;forever #10 clock=~clock;end
38
 
39
   // start testing
40
   initial fork
41
                #0 ADDR=0;
42
      #0 EN=0; // write
43
                #0 WE=0;
44
                #0 SELECT=1;
45
                #0 DI=3;
46
                #5 EN=1;
47
                #5 WE=1;
48
                #25 ADDR=1;
49
                #25 DI=4;
50
                #45 ADDR=2;
51
                #45 DI=100;
52
                #65 ADDR=3;
53
                #65 DI=0;
54
 
55
 
56
      #85 ADDR=0;
57
                #85 EN=1; //burst read
58
                #85 WE=0;
59
                #85 SELECT=0;
60
                #105 ADDR=0;
61
                #105 SELECT=1;
62
                #125 ADDR=0;
63
                #125 SELECT=2;
64
                #145 ADDR=0;
65
                #145 SELECT=3;
66
                #165 ADDR=0;
67
                #165 SELECT=4;
68
                #185 ADDR=0;
69
                #185 SELECT=5;
70
                #205 ADDR=0;
71
                #205 SELECT=6;
72
                #225 ADDR=0;
73
                #225 SELECT=7;
74
                #245 EN=0;
75
                #245 WE=0;
76
   join
77
 
78
 
79
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.