OpenCores
URL https://opencores.org/ocsvn/cheap_ethernet/cheap_ethernet/trunk

Subversion Repositories cheap_ethernet

[/] [cheap_ethernet/] [trunk/] [Ethernet_test/] [ethernet_test.ucf] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 graver
NET "clk_in" LOC = P183;
2
NET "clk_in" TNM_NET = "clk_in";
3
TIMESPEC TS_CLK_IN = PERIOD "clk_in" 20000 ps;
4
 
5
#NET "Ethernet_TDm" LOC = P55;
6
#NET "Ethernet_TDp" LOC = P61;
7
NET "Ethernet_TDp" DRIVE = 6;
8
NET "Ethernet_TDm" DRIVE = 6;
9
 
10
NET "Ethernet_TDp" LOC = P82;
11
NET "Ethernet_TDm" LOC = P83;
12
 
13
NET "Ethernet_RDp" IOSTANDARD = DIFF_HSTL_III_18;
14
NET "Ethernet_RDp" LOC = P62;
15
NET "Ethernet_RDm" IOSTANDARD = DIFF_HSTL_III_18;
16
NET "Ethernet_RDm" LOC = P63;
17
 
18
NET "Ethernet_LED_Link" LOC = P84;
19
NET "Ethernet_LED_Link" DRIVE = 2;
20
NET "Ethernet_LED_Act" LOC = P86;
21
NET "Ethernet_LED_Act" DRIVE = 2;
22
 
23
NET "LED_Test" LOC = P78;
24
 
25
NET "pushbutton" PULLDOWN;
26
NET "pushbutton" LOC = P26;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.