OpenCores
URL https://opencores.org/ocsvn/cheap_ethernet/cheap_ethernet/trunk

Subversion Repositories cheap_ethernet

[/] [cheap_ethernet/] [trunk/] [Ethernet_test/] [ipcore_dir/] [DCMMAIN.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 graver
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 14.1
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : DCMMAIN.v
10
// /___/   /\     Timestamp : 08/24/2012 12:52:08
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -st C:\Projects\Xilinx\Ethernet\ipcore_dir\.\DCMMAIN.xaw C:\Projects\Xilinx\Ethernet\ipcore_dir\.\DCMMAIN
15
//Design Name: DCMMAIN
16
//Device: xc3s500e-4pq208
17
//
18
// Module DCMMAIN
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
`timescale 1ns / 1ps
22
 
23
module DCMMAIN(CLKIN_IN,
24
               RST_IN,
25
               CLKDV_OUT,
26
               CLKIN_IBUFG_OUT,
27
               CLK0_OUT);
28
 
29
    input CLKIN_IN;
30
    input RST_IN;
31
   output CLKDV_OUT;
32
   output CLKIN_IBUFG_OUT;
33
   output CLK0_OUT;
34
 
35
   wire CLKDV_BUF;
36
   wire CLKFB_IN;
37
   wire CLKIN_IBUFG;
38
   wire CLK0_BUF;
39
   wire GND_BIT;
40
 
41
   assign GND_BIT = 0;
42
   assign CLKIN_IBUFG_OUT = CLKIN_IBUFG;
43
   assign CLK0_OUT = CLKFB_IN;
44
   BUFG  CLKDV_BUFG_INST (.I(CLKDV_BUF),
45
                         .O(CLKDV_OUT));
46
   IBUFG  CLKIN_IBUFG_INST (.I(CLKIN_IN),
47
                           .O(CLKIN_IBUFG));
48
   BUFG  CLK0_BUFG_INST (.I(CLK0_BUF),
49
                        .O(CLKFB_IN));
50
   DCM_SP #( .CLK_FEEDBACK("1X"), .CLKDV_DIVIDE(2.5), .CLKFX_DIVIDE(1),
51
         .CLKFX_MULTIPLY(4), .CLKIN_DIVIDE_BY_2("FALSE"),
52
         .CLKIN_PERIOD(20.000), .CLKOUT_PHASE_SHIFT("NONE"),
53
         .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"), .DFS_FREQUENCY_MODE("LOW"),
54
         .DLL_FREQUENCY_MODE("LOW"), .DUTY_CYCLE_CORRECTION("TRUE"),
55
         .FACTORY_JF(16'hC080), .PHASE_SHIFT(0), .STARTUP_WAIT("FALSE") )
56
         DCM_SP_INST (.CLKFB(CLKFB_IN),
57
                       .CLKIN(CLKIN_IBUFG),
58
                       .DSSEN(GND_BIT),
59
                       .PSCLK(GND_BIT),
60
                       .PSEN(GND_BIT),
61
                       .PSINCDEC(GND_BIT),
62
                       .RST(RST_IN),
63
                       .CLKDV(CLKDV_BUF),
64
                       .CLKFX(),
65
                       .CLKFX180(),
66
                       .CLK0(CLK0_BUF),
67
                       .CLK2X(),
68
                       .CLK2X180(),
69
                       .CLK90(),
70
                       .CLK180(),
71
                       .CLK270(),
72
                       .LOCKED(),
73
                       .PSDONE(),
74
                       .STATUS());
75
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.