OpenCores
URL https://opencores.org/ocsvn/complex-gaussian-pseudo-random-number-generator/complex-gaussian-pseudo-random-number-generator/trunk

Subversion Repositories complex-gaussian-pseudo-random-number-generator

[/] [complex-gaussian-pseudo-random-number-generator/] [trunk/] [urng/] [REG.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 cowboyor
--/////////////////////////REG///////////////////////////////
2
--Purpose: 37-bit register
3
--Created by: Minzhen Ren
4
--Last Modified by: Minzhen Ren
5
--Last Modified Date: October 6, 2010
6
--Lately Updates: 37-bit register for CORDIC log algorithm
7
--/////////////////////////////////////////////////////////////////
8
library IEEE;
9
  use IEEE.Std_Logic_1164.all;
10
  use IEEE.Std_Logic_Arith.all;
11
  use IEEE.Std_Logic_Unsigned.all;
12
 
13
 entity REG is
14
        generic( BIT_WIDTH       : Natural := 37);   -- Default is 37 bits
15
    port( CLK       : in  std_logic;
16
          RESET     : in  std_logic; -- high asserted
17
          DATA_IN   : in  std_logic_vector( BIT_WIDTH-1 downto 0 );
18
          DATA_OUT  : out std_logic_vector( BIT_WIDTH-1 downto 0 )
19
        );
20
 end REG;
21
 
22
 architecture BEHAVIOR of REG is
23
 
24
        begin
25
 
26
                reg_proc : process(CLK, RESET)
27
                begin
28
                if RESET = '1' then
29
                        DATA_OUT <= (others => '0');
30
                elsif (CLK'event) and (CLK = '1') then
31
                        DATA_OUT <= DATA_IN;
32
                end if;
33
                end process;
34
 end BEHAVIOR;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.