OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [DE2/] [DE2.qsf] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sumanta.ch
# Project-Wide Assignments
2
# ========================
3
set_global_assignment -name LAST_QUARTUS_VERSION 10.0
4
 
5
# Pin & Location Assignments
6
# ==========================
7
set_location_assignment PIN_N25 -to DPDT_SW[0]
8
set_location_assignment PIN_N26 -to DPDT_SW[1]
9
set_location_assignment PIN_P25 -to DPDT_SW[2]
10
set_location_assignment PIN_AE14 -to DPDT_SW[3]
11
set_location_assignment PIN_AF14 -to DPDT_SW[4]
12
set_location_assignment PIN_AD13 -to DPDT_SW[5]
13
set_location_assignment PIN_AC13 -to DPDT_SW[6]
14
set_location_assignment PIN_C13 -to DPDT_SW[7]
15
set_location_assignment PIN_B13 -to DPDT_SW[8]
16
set_location_assignment PIN_A13 -to DPDT_SW[9]
17
set_location_assignment PIN_N1 -to DPDT_SW[10]
18
set_location_assignment PIN_P1 -to DPDT_SW[11]
19
set_location_assignment PIN_P2 -to DPDT_SW[12]
20
set_location_assignment PIN_T7 -to DPDT_SW[13]
21
set_location_assignment PIN_U3 -to DPDT_SW[14]
22
set_location_assignment PIN_U4 -to DPDT_SW[15]
23
set_location_assignment PIN_V1 -to DPDT_SW[16]
24
set_location_assignment PIN_V2 -to DPDT_SW[17]
25
set_location_assignment PIN_T6 -to DRAM_ADDR[0]
26
set_location_assignment PIN_V4 -to DRAM_ADDR[1]
27
set_location_assignment PIN_V3 -to DRAM_ADDR[2]
28
set_location_assignment PIN_W2 -to DRAM_ADDR[3]
29
set_location_assignment PIN_W1 -to DRAM_ADDR[4]
30
set_location_assignment PIN_U6 -to DRAM_ADDR[5]
31
set_location_assignment PIN_U7 -to DRAM_ADDR[6]
32
set_location_assignment PIN_U5 -to DRAM_ADDR[7]
33
set_location_assignment PIN_W4 -to DRAM_ADDR[8]
34
set_location_assignment PIN_W3 -to DRAM_ADDR[9]
35
set_location_assignment PIN_Y1 -to DRAM_ADDR[10]
36
set_location_assignment PIN_V5 -to DRAM_ADDR[11]
37
set_location_assignment PIN_AE2 -to DRAM_BA_0
38
set_location_assignment PIN_AE3 -to DRAM_BA_1
39
set_location_assignment PIN_AB3 -to DRAM_CAS_N
40
set_location_assignment PIN_AA6 -to DRAM_CKE
41
set_location_assignment PIN_AA7 -to DRAM_CLK
42
set_location_assignment PIN_AC3 -to DRAM_CS_N
43
set_location_assignment PIN_V6 -to DRAM_DQ[0]
44
set_location_assignment PIN_AA2 -to DRAM_DQ[1]
45
set_location_assignment PIN_AA1 -to DRAM_DQ[2]
46
set_location_assignment PIN_Y3 -to DRAM_DQ[3]
47
set_location_assignment PIN_Y4 -to DRAM_DQ[4]
48
set_location_assignment PIN_R8 -to DRAM_DQ[5]
49
set_location_assignment PIN_T8 -to DRAM_DQ[6]
50
set_location_assignment PIN_V7 -to DRAM_DQ[7]
51
set_location_assignment PIN_W6 -to DRAM_DQ[8]
52
set_location_assignment PIN_AB2 -to DRAM_DQ[9]
53
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
54
set_location_assignment PIN_AA4 -to DRAM_DQ[11]
55
set_location_assignment PIN_AA3 -to DRAM_DQ[12]
56
set_location_assignment PIN_AC2 -to DRAM_DQ[13]
57
set_location_assignment PIN_AC1 -to DRAM_DQ[14]
58
set_location_assignment PIN_AA5 -to DRAM_DQ[15]
59
set_location_assignment PIN_AD2 -to DRAM_LDQM
60
set_location_assignment PIN_Y5 -to DRAM_UDQM
61
set_location_assignment PIN_AB4 -to DRAM_RAS_N
62
set_location_assignment PIN_AD3 -to DRAM_WE_N
63
set_location_assignment PIN_AC18 -to FL_ADDR[0]
64
set_location_assignment PIN_AB18 -to FL_ADDR[1]
65
set_location_assignment PIN_AE19 -to FL_ADDR[2]
66
set_location_assignment PIN_AF19 -to FL_ADDR[3]
67
set_location_assignment PIN_AE18 -to FL_ADDR[4]
68
set_location_assignment PIN_AF18 -to FL_ADDR[5]
69
set_location_assignment PIN_Y16 -to FL_ADDR[6]
70
set_location_assignment PIN_AA16 -to FL_ADDR[7]
71
set_location_assignment PIN_AD17 -to FL_ADDR[8]
72
set_location_assignment PIN_AC17 -to FL_ADDR[9]
73
set_location_assignment PIN_AE17 -to FL_ADDR[10]
74
set_location_assignment PIN_AF17 -to FL_ADDR[11]
75
set_location_assignment PIN_W16 -to FL_ADDR[12]
76
set_location_assignment PIN_W15 -to FL_ADDR[13]
77
set_location_assignment PIN_AC16 -to FL_ADDR[14]
78
set_location_assignment PIN_AD16 -to FL_ADDR[15]
79
set_location_assignment PIN_AE16 -to FL_ADDR[16]
80
set_location_assignment PIN_AC15 -to FL_ADDR[17]
81
set_location_assignment PIN_AB15 -to FL_ADDR[18]
82
set_location_assignment PIN_AA15 -to FL_ADDR[19]
83
set_location_assignment PIN_V17 -to FL_CE_N
84
set_location_assignment PIN_W17 -to FL_OE_N
85
set_location_assignment PIN_AD19 -to FL_DQ[0]
86
set_location_assignment PIN_AC19 -to FL_DQ[1]
87
set_location_assignment PIN_AF20 -to FL_DQ[2]
88
set_location_assignment PIN_AE20 -to FL_DQ[3]
89
set_location_assignment PIN_AB20 -to FL_DQ[4]
90
set_location_assignment PIN_AC20 -to FL_DQ[5]
91
set_location_assignment PIN_AF21 -to FL_DQ[6]
92
set_location_assignment PIN_AE21 -to FL_DQ[7]
93
set_location_assignment PIN_AA18 -to FL_RST_N
94
set_location_assignment PIN_AA17 -to FL_WE_N
95
set_location_assignment PIN_AF10 -to HEX0[0]
96
set_location_assignment PIN_AB12 -to HEX0[1]
97
set_location_assignment PIN_AC12 -to HEX0[2]
98
set_location_assignment PIN_AD11 -to HEX0[3]
99
set_location_assignment PIN_AE11 -to HEX0[4]
100
set_location_assignment PIN_V14 -to HEX0[5]
101
set_location_assignment PIN_V13 -to HEX0[6]
102
set_location_assignment PIN_V20 -to HEX1[0]
103
set_location_assignment PIN_V21 -to HEX1[1]
104
set_location_assignment PIN_W21 -to HEX1[2]
105
set_location_assignment PIN_Y22 -to HEX1[3]
106
set_location_assignment PIN_AA24 -to HEX1[4]
107
set_location_assignment PIN_AA23 -to HEX1[5]
108
set_location_assignment PIN_AB24 -to HEX1[6]
109
set_location_assignment PIN_AB23 -to HEX2[0]
110
set_location_assignment PIN_V22 -to HEX2[1]
111
set_location_assignment PIN_AC25 -to HEX2[2]
112
set_location_assignment PIN_AC26 -to HEX2[3]
113
set_location_assignment PIN_AB26 -to HEX2[4]
114
set_location_assignment PIN_AB25 -to HEX2[5]
115
set_location_assignment PIN_Y24 -to HEX2[6]
116
set_location_assignment PIN_Y23 -to HEX3[0]
117
set_location_assignment PIN_AA25 -to HEX3[1]
118
set_location_assignment PIN_AA26 -to HEX3[2]
119
set_location_assignment PIN_Y26 -to HEX3[3]
120
set_location_assignment PIN_Y25 -to HEX3[4]
121
set_location_assignment PIN_U22 -to HEX3[5]
122
set_location_assignment PIN_W24 -to HEX3[6]
123
set_location_assignment PIN_U9 -to HEX4[0]
124
set_location_assignment PIN_U1 -to HEX4[1]
125
set_location_assignment PIN_U2 -to HEX4[2]
126
set_location_assignment PIN_T4 -to HEX4[3]
127
set_location_assignment PIN_R7 -to HEX4[4]
128
set_location_assignment PIN_R6 -to HEX4[5]
129
set_location_assignment PIN_T3 -to HEX4[6]
130
set_location_assignment PIN_T2 -to HEX5[0]
131
set_location_assignment PIN_P6 -to HEX5[1]
132
set_location_assignment PIN_P7 -to HEX5[2]
133
set_location_assignment PIN_T9 -to HEX5[3]
134
set_location_assignment PIN_R5 -to HEX5[4]
135
set_location_assignment PIN_R4 -to HEX5[5]
136
set_location_assignment PIN_R3 -to HEX5[6]
137
set_location_assignment PIN_R2 -to HEX6[0]
138
set_location_assignment PIN_P4 -to HEX6[1]
139
set_location_assignment PIN_P3 -to HEX6[2]
140
set_location_assignment PIN_M2 -to HEX6[3]
141
set_location_assignment PIN_M3 -to HEX6[4]
142
set_location_assignment PIN_M5 -to HEX6[5]
143
set_location_assignment PIN_M4 -to HEX6[6]
144
set_location_assignment PIN_L3 -to HEX7[0]
145
set_location_assignment PIN_L2 -to HEX7[1]
146
set_location_assignment PIN_L9 -to HEX7[2]
147
set_location_assignment PIN_L6 -to HEX7[3]
148
set_location_assignment PIN_L7 -to HEX7[4]
149
set_location_assignment PIN_P9 -to HEX7[5]
150
set_location_assignment PIN_N9 -to HEX7[6]
151
set_location_assignment PIN_G26 -to KEY[0]
152
set_location_assignment PIN_N23 -to KEY[1]
153
set_location_assignment PIN_P23 -to KEY[2]
154
set_location_assignment PIN_W26 -to KEY[3]
155
set_location_assignment PIN_AE23 -to LED_RED[0]
156
set_location_assignment PIN_AF23 -to LED_RED[1]
157
set_location_assignment PIN_AB21 -to LED_RED[2]
158
set_location_assignment PIN_AC22 -to LED_RED[3]
159
set_location_assignment PIN_AD22 -to LED_RED[4]
160
set_location_assignment PIN_AD23 -to LED_RED[5]
161
set_location_assignment PIN_AD21 -to LED_RED[6]
162
set_location_assignment PIN_AC21 -to LED_RED[7]
163
set_location_assignment PIN_AA14 -to LED_RED[8]
164
set_location_assignment PIN_Y13 -to LED_RED[9]
165
set_location_assignment PIN_AA13 -to LED_RED[10]
166
set_location_assignment PIN_AC14 -to LED_RED[11]
167
set_location_assignment PIN_AD15 -to LED_RED[12]
168
set_location_assignment PIN_AE15 -to LED_RED[13]
169
set_location_assignment PIN_AF13 -to LED_RED[14]
170
set_location_assignment PIN_AE13 -to LED_RED[15]
171
set_location_assignment PIN_AE12 -to LED_RED[16]
172
set_location_assignment PIN_AD12 -to LED_RED[17]
173
set_location_assignment PIN_AE22 -to LED_GREEN[0]
174
set_location_assignment PIN_AF22 -to LED_GREEN[1]
175
set_location_assignment PIN_W19 -to LED_GREEN[2]
176
set_location_assignment PIN_V18 -to LED_GREEN[3]
177
set_location_assignment PIN_U18 -to LED_GREEN[4]
178
set_location_assignment PIN_U17 -to LED_GREEN[5]
179
set_location_assignment PIN_AA20 -to LED_GREEN[6]
180
set_location_assignment PIN_Y18 -to LED_GREEN[7]
181
set_location_assignment PIN_Y12 -to LED_GREEN[8]
182
set_location_assignment PIN_D13 -to OSC_27
183
set_location_assignment PIN_N2 -to OSC_50
184
set_location_assignment PIN_P26 -to EXT_CLOCK
185
set_location_assignment PIN_D26 -to PS2_CLK
186
set_location_assignment PIN_C24 -to PS2_DAT
187
set_location_assignment PIN_C25 -to UART_RXD
188
set_location_assignment PIN_B25 -to UART_TXD
189
set_location_assignment PIN_K4 -to LCD_RW
190
set_location_assignment PIN_K3 -to LCD_EN
191
set_location_assignment PIN_K1 -to LCD_RS
192
set_location_assignment PIN_J1 -to LCD_DATA[0]
193
set_location_assignment PIN_J2 -to LCD_DATA[1]
194
set_location_assignment PIN_H1 -to LCD_DATA[2]
195
set_location_assignment PIN_H2 -to LCD_DATA[3]
196
set_location_assignment PIN_J4 -to LCD_DATA[4]
197
set_location_assignment PIN_J3 -to LCD_DATA[5]
198
set_location_assignment PIN_H4 -to LCD_DATA[6]
199
set_location_assignment PIN_H3 -to LCD_DATA[7]
200
set_location_assignment PIN_L4 -to LCD_ON
201
set_location_assignment PIN_K2 -to LCD_BLON
202
set_location_assignment PIN_AE4 -to SRAM_ADDR[0]
203
set_location_assignment PIN_AF4 -to SRAM_ADDR[1]
204
set_location_assignment PIN_AC5 -to SRAM_ADDR[2]
205
set_location_assignment PIN_AC6 -to SRAM_ADDR[3]
206
set_location_assignment PIN_AD4 -to SRAM_ADDR[4]
207
set_location_assignment PIN_AD5 -to SRAM_ADDR[5]
208
set_location_assignment PIN_AE5 -to SRAM_ADDR[6]
209
set_location_assignment PIN_AF5 -to SRAM_ADDR[7]
210
set_location_assignment PIN_AD6 -to SRAM_ADDR[8]
211
set_location_assignment PIN_AD7 -to SRAM_ADDR[9]
212
set_location_assignment PIN_V10 -to SRAM_ADDR[10]
213
set_location_assignment PIN_V9 -to SRAM_ADDR[11]
214
set_location_assignment PIN_AC7 -to SRAM_ADDR[12]
215
set_location_assignment PIN_W8 -to SRAM_ADDR[13]
216
set_location_assignment PIN_W10 -to SRAM_ADDR[14]
217
set_location_assignment PIN_Y10 -to SRAM_ADDR[15]
218
set_location_assignment PIN_AB8 -to SRAM_ADDR[16]
219
set_location_assignment PIN_AC8 -to SRAM_ADDR[17]
220
set_location_assignment PIN_AD8 -to SRAM_DQ[0]
221
set_location_assignment PIN_AE6 -to SRAM_DQ[1]
222
set_location_assignment PIN_AF6 -to SRAM_DQ[2]
223
set_location_assignment PIN_AA9 -to SRAM_DQ[3]
224
set_location_assignment PIN_AA10 -to SRAM_DQ[4]
225
set_location_assignment PIN_AB10 -to SRAM_DQ[5]
226
set_location_assignment PIN_AA11 -to SRAM_DQ[6]
227
set_location_assignment PIN_Y11 -to SRAM_DQ[7]
228
set_location_assignment PIN_AE7 -to SRAM_DQ[8]
229
set_location_assignment PIN_AF7 -to SRAM_DQ[9]
230
set_location_assignment PIN_AE8 -to SRAM_DQ[10]
231
set_location_assignment PIN_AF8 -to SRAM_DQ[11]
232
set_location_assignment PIN_W11 -to SRAM_DQ[12]
233
set_location_assignment PIN_W12 -to SRAM_DQ[13]
234
set_location_assignment PIN_AC9 -to SRAM_DQ[14]
235
set_location_assignment PIN_AC10 -to SRAM_DQ[15]
236
set_location_assignment PIN_AE10 -to SRAM_WE_N
237
set_location_assignment PIN_AD10 -to SRAM_OE_N
238
set_location_assignment PIN_AF9 -to SRAM_UB_N
239
set_location_assignment PIN_AE9 -to SRAM_LB_N
240
set_location_assignment PIN_AC11 -to SRAM_CE_N
241
set_location_assignment PIN_K7 -to OTG_ADDR[0]
242
set_location_assignment PIN_F2 -to OTG_ADDR[1]
243
set_location_assignment PIN_F1 -to OTG_CS_N
244
set_location_assignment PIN_G2 -to OTG_RD_N
245
set_location_assignment PIN_G1 -to OTG_WR_N
246
set_location_assignment PIN_G5 -to OTG_RST_N
247
set_location_assignment PIN_F4 -to OTG_DATA[0]
248
set_location_assignment PIN_D2 -to OTG_DATA[1]
249
set_location_assignment PIN_D1 -to OTG_DATA[2]
250
set_location_assignment PIN_F7 -to OTG_DATA[3]
251
set_location_assignment PIN_J5 -to OTG_DATA[4]
252
set_location_assignment PIN_J8 -to OTG_DATA[5]
253
set_location_assignment PIN_J7 -to OTG_DATA[6]
254
set_location_assignment PIN_H6 -to OTG_DATA[7]
255
set_location_assignment PIN_E2 -to OTG_DATA[8]
256
set_location_assignment PIN_E1 -to OTG_DATA[9]
257
set_location_assignment PIN_K6 -to OTG_DATA[10]
258
set_location_assignment PIN_K5 -to OTG_DATA[11]
259
set_location_assignment PIN_G4 -to OTG_DATA[12]
260
set_location_assignment PIN_G3 -to OTG_DATA[13]
261
set_location_assignment PIN_J6 -to OTG_DATA[14]
262
set_location_assignment PIN_K8 -to OTG_DATA[15]
263
set_location_assignment PIN_B3 -to OTG_INT0
264
set_location_assignment PIN_C3 -to OTG_INT1
265
set_location_assignment PIN_C2 -to OTG_DACK0_N
266
set_location_assignment PIN_B2 -to OTG_DACK1_N
267
set_location_assignment PIN_F6 -to OTG_DREQ0
268
set_location_assignment PIN_E5 -to OTG_DREQ1
269
set_location_assignment PIN_F3 -to OTG_FSPEED
270
set_location_assignment PIN_G6 -to OTG_LSPEED
271
set_location_assignment PIN_B14 -to TDI
272
set_location_assignment PIN_A14 -to TCS
273
set_location_assignment PIN_D14 -to TCK
274
set_location_assignment PIN_F14 -to TDO
275
set_location_assignment PIN_C4 -to TD_RESET
276
set_location_assignment PIN_C8 -to VGA_R[0]
277
set_location_assignment PIN_F10 -to VGA_R[1]
278
set_location_assignment PIN_G10 -to VGA_R[2]
279
set_location_assignment PIN_D9 -to VGA_R[3]
280
set_location_assignment PIN_C9 -to VGA_R[4]
281
set_location_assignment PIN_A8 -to VGA_R[5]
282
set_location_assignment PIN_H11 -to VGA_R[6]
283
set_location_assignment PIN_H12 -to VGA_R[7]
284
set_location_assignment PIN_F11 -to VGA_R[8]
285
set_location_assignment PIN_E10 -to VGA_R[9]
286
set_location_assignment PIN_B9 -to VGA_G[0]
287
set_location_assignment PIN_A9 -to VGA_G[1]
288
set_location_assignment PIN_C10 -to VGA_G[2]
289
set_location_assignment PIN_D10 -to VGA_G[3]
290
set_location_assignment PIN_B10 -to VGA_G[4]
291
set_location_assignment PIN_A10 -to VGA_G[5]
292
set_location_assignment PIN_G11 -to VGA_G[6]
293
set_location_assignment PIN_D11 -to VGA_G[7]
294
set_location_assignment PIN_E12 -to VGA_G[8]
295
set_location_assignment PIN_D12 -to VGA_G[9]
296
set_location_assignment PIN_J13 -to VGA_B[0]
297
set_location_assignment PIN_J14 -to VGA_B[1]
298
set_location_assignment PIN_F12 -to VGA_B[2]
299
set_location_assignment PIN_G12 -to VGA_B[3]
300
set_location_assignment PIN_J10 -to VGA_B[4]
301
set_location_assignment PIN_J11 -to VGA_B[5]
302
set_location_assignment PIN_C11 -to VGA_B[6]
303
set_location_assignment PIN_B11 -to VGA_B[7]
304
set_location_assignment PIN_C12 -to VGA_B[8]
305
set_location_assignment PIN_B12 -to VGA_B[9]
306
set_location_assignment PIN_B8 -to VGA_CLK
307
set_location_assignment PIN_D6 -to VGA_BLANK
308
set_location_assignment PIN_A7 -to VGA_HS
309
set_location_assignment PIN_D8 -to VGA_VS
310
set_location_assignment PIN_B7 -to VGA_SYNC
311
set_location_assignment PIN_A6 -to I2C_SCLK
312
set_location_assignment PIN_B6 -to I2C_SDAT
313
set_location_assignment PIN_J9 -to TD_DATA[0]
314
set_location_assignment PIN_E8 -to TD_DATA[1]
315
set_location_assignment PIN_H8 -to TD_DATA[2]
316
set_location_assignment PIN_H10 -to TD_DATA[3]
317
set_location_assignment PIN_G9 -to TD_DATA[4]
318
set_location_assignment PIN_F9 -to TD_DATA[5]
319
set_location_assignment PIN_D7 -to TD_DATA[6]
320
set_location_assignment PIN_C7 -to TD_DATA[7]
321
set_location_assignment PIN_D5 -to TD_HS
322
set_location_assignment PIN_K9 -to TD_VS
323
set_location_assignment PIN_C5 -to AUD_ADCLRCK
324
set_location_assignment PIN_B5 -to AUD_ADCDAT
325
set_location_assignment PIN_C6 -to AUD_DACLRCK
326
set_location_assignment PIN_A4 -to AUD_DACDAT
327
set_location_assignment PIN_A5 -to AUD_XCK
328
set_location_assignment PIN_B4 -to AUD_BCLK
329
set_location_assignment PIN_D17 -to ENET_DATA[0]
330
set_location_assignment PIN_C17 -to ENET_DATA[1]
331
set_location_assignment PIN_B18 -to ENET_DATA[2]
332
set_location_assignment PIN_A18 -to ENET_DATA[3]
333
set_location_assignment PIN_B17 -to ENET_DATA[4]
334
set_location_assignment PIN_A17 -to ENET_DATA[5]
335
set_location_assignment PIN_B16 -to ENET_DATA[6]
336
set_location_assignment PIN_B15 -to ENET_DATA[7]
337
set_location_assignment PIN_B20 -to ENET_DATA[8]
338
set_location_assignment PIN_A20 -to ENET_DATA[9]
339
set_location_assignment PIN_C19 -to ENET_DATA[10]
340
set_location_assignment PIN_D19 -to ENET_DATA[11]
341
set_location_assignment PIN_B19 -to ENET_DATA[12]
342
set_location_assignment PIN_A19 -to ENET_DATA[13]
343
set_location_assignment PIN_E18 -to ENET_DATA[14]
344
set_location_assignment PIN_D18 -to ENET_DATA[15]
345
set_location_assignment PIN_B24 -to ENET_CLK
346
set_location_assignment PIN_A21 -to ENET_CMD
347
set_location_assignment PIN_A23 -to ENET_CS_N
348
set_location_assignment PIN_B21 -to ENET_INT
349
set_location_assignment PIN_A22 -to ENET_RD_N
350
set_location_assignment PIN_B22 -to ENET_WR_N
351
set_location_assignment PIN_B23 -to ENET_RST_N
352
set_location_assignment PIN_AE24 -to IRDA_TXD
353
set_location_assignment PIN_AE25 -to IRDA_RXD
354
set_location_assignment PIN_AD24 -to SD_DAT
355
set_location_assignment PIN_AC23 -to SD_DAT3
356
set_location_assignment PIN_Y21 -to SD_CMD
357
set_location_assignment PIN_AD25 -to SD_CLK
358
#set_location_assignment PIN_D25 -to GPIO_0[0]
359
#set_location_assignment PIN_J22 -to GPIO_0[1]
360
#set_location_assignment PIN_E26 -to GPIO_0[2]
361
#set_location_assignment PIN_E25 -to GPIO_0[3]
362
#set_location_assignment PIN_F24 -to GPIO_0[4]
363
#set_location_assignment PIN_F23 -to GPIO_0[5]
364
#set_location_assignment PIN_J21 -to GPIO_0[6]
365
set_location_assignment PIN_J21 -to UART_RXD_JP1_7
366
#set_location_assignment PIN_J20 -to GPIO_0[7]
367
#set_location_assignment PIN_F25 -to GPIO_0[8]
368
#set_location_assignment PIN_F26 -to GPIO_0[9]
369
set_location_assignment PIN_N18 -to GPIO_0_10
370
#set_location_assignment PIN_P18 -to GPIO_0[11]
371
#set_location_assignment PIN_G23 -to GPIO_0[12]
372
#set_location_assignment PIN_G24 -to GPIO_0[13]
373
#set_location_assignment PIN_K22 -to GPIO_0[14]
374
#set_location_assignment PIN_G25 -to GPIO_0[15]
375
#set_location_assignment PIN_H23 -to GPIO_0[16]
376
#set_location_assignment PIN_H24 -to GPIO_0[17]
377
#set_location_assignment PIN_J23 -to GPIO_0[18]
378
#set_location_assignment PIN_J24 -to GPIO_0[19]
379
#set_location_assignment PIN_H25 -to GPIO_0[20]
380
set_location_assignment PIN_H25 -to I_OR7_JP1_35_bis
381
#set_location_assignment PIN_H26 -to GPIO_0[21]
382
#set_location_assignment PIN_H19 -to GPIO_0[22]
383
set_location_assignment PIN_H19 -to I_OR8_JP1_36_bis
384
#set_location_assignment PIN_K18 -to GPIO_0_[23]
385
#set_location_assignment PIN_K19 -to GPIO_0[24]
386
set_location_assignment PIN_K19 -to UART_TXD_JP1_27
387
#set_location_assignment PIN_K21 -to GPIO_0[25]
388
#set_location_assignment PIN_K23 -to GPIO_0[26]
389
#set_location_assignment PIN_K24 -to GPIO_0[27]
390
#set_location_assignment PIN_L21 -to GPIO_0[28]
391
#set_location_assignment PIN_L20 -to GPIO_0[29]
392
#set_location_assignment PIN_J25 -to GPIO_0[30]
393
set_location_assignment PIN_J25 -to I_OR7_JP1_35
394
#set_location_assignment PIN_J26 -to GPIO_0[31]
395
set_location_assignment PIN_J26 -to I_OR8_JP1_36
396
#set_location_assignment PIN_L23 -to GPIO_0[32]
397
set_location_assignment PIN_L23 -to CONFIG_MODE_JP1_37
398
#set_location_assignment PIN_L24 -to GPIO_0[33]
399
set_location_assignment PIN_L24 -to I_OT2_JP1_38
400
#set_location_assignment PIN_L25 -to GPIO_0[34]
401
#set_location_assignment PIN_L19 -to GPIO_0[35]
402
set_location_assignment PIN_L25 -to INIT_JP1_39
403
#set_location_assignment PIN_K25 -to GPIO_1[0]
404
set_location_assignment PIN_K25 -to I_OT7_JP2_41
405
#set_location_assignment PIN_K26 -to GPIO_1[1]
406
set_location_assignment PIN_K26 -to I_OT6_JP2_42
407
#set_location_assignment PIN_M22 -to GPIO_1[2]
408
set_location_assignment PIN_M22 -to I_OT4_JP2_43
409
#set_location_assignment PIN_M23 -to GPIO_1[3]
410
set_location_assignment PIN_M23 -to I_OT3_JP2_44
411
#set_location_assignment PIN_M19 -to GPIO_1[4]
412
set_location_assignment PIN_M19 -to I_OT1_JP2_45
413
#set_location_assignment PIN_M20 -to GPIO_1[5]
414
set_location_assignment PIN_M20 -to I_OT0_JP2_46
415
#set_location_assignment PIN_N20 -to GPIO_1[6]
416
set_location_assignment PIN_N20 -to CONFIG_OUT0_JP2_47
417
#set_location_assignment PIN_M21 -to GPIO_1[7]
418
set_location_assignment PIN_M21 -to I_OL6_JP2_48
419
#set_location_assignment PIN_M24 -to GPIO_1[8]
420
set_location_assignment PIN_M24 -to CONFIG_OUT1_JP2_49
421
#set_location_assignment PIN_M25 -to GPIO_1[9]
422
set_location_assignment PIN_M25 -to I_OL3_JP2_50
423
#set_location_assignment PIN_N24 -to GPIO_1_10
424
set_location_assignment PIN_N24 -to I_OL7_JP2_53
425
#set_location_assignment PIN_P24 -to GPIO_1[11]
426
set_location_assignment PIN_P24 -to I_OL0_JP2_54
427
#set_location_assignment PIN_R25 -to GPIO_1[12]
428
set_location_assignment PIN_R25 -to I_OL4_JP2_55
429
#set_location_assignment PIN_R24 -to GPIO_1[13]
430
set_location_assignment PIN_R24 -to I_OL8_JP2_56
431
#set_location_assignment PIN_R20 -to GPIO_1[14]
432
set_location_assignment PIN_R20 -to I_OL1_JP2_57
433
#set_location_assignment PIN_T22 -to GPIO_1[15]
434
set_location_assignment PIN_T22 -to I_OT8_JP2_58
435
#set_location_assignment PIN_T23 -to GPIO_1_16
436
set_location_assignment PIN_T23 -to I_OL2_JP2_59
437
#set_location_assignment PIN_T24 -to GPIO_1[17]
438
set_location_assignment PIN_T24 -to I_OB2_JP2_60
439
#set_location_assignment PIN_T25 -to GPIO_1[18]
440
set_location_assignment PIN_T25 -to I_OL5_JP2_61
441
#set_location_assignment PIN_T18 -to GPIO_1[19]
442
set_location_assignment PIN_T18 -to I_OB5_JP2_62
443
#set_location_assignment PIN_T21 -to GPIO_1[20]
444
set_location_assignment PIN_T21 -to I_OT5_JP2_63
445
#set_location_assignment PIN_T20 -to GPIO_1[21]
446
set_location_assignment PIN_T20 -to I_OR2_JP2_64
447
#set_location_assignment PIN_U26 -to GPIO_1[22]
448
set_location_assignment PIN_U26 -to I_OB8_JP2_65
449
#set_location_assignment PIN_U25 -to GPIO_1[23]
450
set_location_assignment PIN_U25 -to CONFIG_ACK_IN_JP2_66
451
#set_location_assignment PIN_U23 -to GPIO_1[24]
452
set_location_assignment PIN_U23 -to I_OR5_JP2_67
453
#set_location_assignment PIN_U24 -to GPIO_1[25]
454
set_location_assignment PIN_U24 -to I_OB0_JP2_68
455
#set_location_assignment PIN_R19 -to GPIO_1[26]
456
set_location_assignment PIN_R19 -to I_OB1_JP2_71
457
#set_location_assignment PIN_T19 -to GPIO_1[27]
458
set_location_assignment PIN_T19 -to I_OB3_JP2_72
459
#set_location_assignment PIN_U20 -to GPIO_1[28]
460
set_location_assignment PIN_U20 -to I_OB4_JP2_73
461
#set_location_assignment PIN_U21 -to GPIO_1[29]
462
set_location_assignment PIN_U21 -to I_OB6_JP2_74
463
#set_location_assignment PIN_V26 -to GPIO_1[30]
464
set_location_assignment PIN_V26 -to I_OB7_JP2_75
465
#set_location_assignment PIN_V25 -to GPIO_1[31]
466
set_location_assignment PIN_V25 -to I_OR0_JP2_76
467
#set_location_assignment PIN_V24 -to GPIO_1[32]
468
set_location_assignment PIN_V24 -to I_OR1_JP2_77
469
#set_location_assignment PIN_V23 -to GPIO_1[33]
470
set_location_assignment PIN_V23 -to I_OR3_JP2_78
471
#set_location_assignment PIN_W25 -to GPIO_1[34]
472
set_location_assignment PIN_W25 -to I_OR4_JP2_79
473
#set_location_assignment PIN_W23 -to GPIO_1[35]
474
set_location_assignment PIN_W23 -to I_OR6_JP2_80
475
 
476
# Timing Assignments
477
# ==================
478
#set_global_assignment -name REPORT_IO_PATHS_SEPARATELY ON
479
#set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK ON
480
#set_global_assignment -name DO_COMBINED_ANALYSIS OFF
481
 
482
# Analysis & Synthesis Assignments
483
# ================================
484
set_global_assignment -name FAMILY "Cyclone II"
485
set_global_assignment -name TOP_LEVEL_ENTITY DE2
486
 
487
# Fitter Assignments
488
# ==================
489
set_global_assignment -name DEVICE EP2C35F672C6
490
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
491
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
492
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
493
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[0]
494
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[1]
495
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[2]
496
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[3]
497
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[4]
498
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[5]
499
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[6]
500
set_instance_assignment -name IO_STANDARD LVTTL -to TD_DATA[7]
501
set_instance_assignment -name IO_STANDARD LVTTL -to TD_HS
502
set_instance_assignment -name IO_STANDARD LVTTL -to TD_VS
503
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
504
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
505
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
506
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
507
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
508
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
509
set_instance_assignment -name IO_STANDARD LVTTL -to ENET_DATA[0]
510
set_instance_assignment -name IO_STANDARD LVTTL -to SD_DAT3
511
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
512
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
513
 
514
# Timing Analysis Assignments
515
# ===========================
516
set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS ON
517
set_global_assignment -name ENABLE_CLOCK_LATENCY ON
518
 
519
# Assembler Assignments
520
# =====================
521
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
522
set_global_assignment -name FMAX_REQUIREMENT "50 MHz" -section_id OSC_50
523
set_instance_assignment -name CLOCK_SETTINGS OSC_50 -to OSC_50
524
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
525
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
526
 
527
set_global_assignment -name FMAX_REQUIREMENT "50 MHz"
528
set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK OFF
529
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
530
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
531
 
532
set_global_assignment -name VERILOG_FILE CMD_Decode_simple.v
533
set_global_assignment -name VHDL_FILE AI.vhd
534
set_global_assignment -name SOURCE_FILE RS232_Command.h
535
set_global_assignment -name VERILOG_FILE async_receiver_altera.v
536
set_global_assignment -name VERILOG_FILE async_transmitter_altera.v
537
set_global_assignment -name VERILOG_FILE DE2.v
538
set_global_assignment -name VERILOG_FILE LCD_TEST_SAFE.v
539
set_global_assignment -name VERILOG_FILE RS232_Controller.v
540
set_global_assignment -name VERILOG_FILE SEG7_LUT.v
541
set_global_assignment -name VERILOG_FILE SEG7_LUT_8.v
542
 
543
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
544
set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
545
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
546
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.