OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [DE2/] [DE2_USB_API.cdf] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sumanta.ch
/* Quartus II Version 7.2 Build 207 03/18/2008 Service Pack 3 SJ Full Version */
2
JedecChain;
3
        FileRevision(JESD32A);
4
        DefaultMfr(6E);
5
 
6
        P ActionCode(Cfg)
7
                Device PartName(EP2C35F672) Path("D:/My Documents/PhD_Research/MY_PAPERS/FPT2011/Connect6/DE2/HW1/") File("DE2_USB_API.sof") MfrSpec(OpMask(1));
8
 
9
ChainEnd;
10
 
11
AlteraBegin;
12
        ChainType(JTAG);
13
AlteraEnd;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.