OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [DE2/] [Makefile] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sumanta.ch
all:
2
        quartus_map DE2_USB_API.qpf
3
        quartus_fit DE2_USB_API.qpf
4
        quartus_asm DE2_USB_API.qpf
5
        quartus_pgm -c USB-Blaster -m jtag  -o "p;DE2_USB_API.sof"
6
test:
7
        ./setup.sh
8
        ../../FPT2011_AI_TERMINAL/connect6 -port /dev/ttyS0 -player D
9
 
10
pgm:
11
        quartus_pgm -c USB-Blaster -m jtag  -o "p;DE2_USB_API.sof"
12
 
13
sim:
14
        vcom S_TO_AS.vhd
15
        vcom S_TO_AS_CONFIG.vhd
16
        vcom testbench.vhd
17
clean:
18
        rm -rf db *.msg *.smsg *.summary *.done *.rpt *.pof *.sof transcript incremental_db simulation

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.