OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [DE2/] [async_transmitter_altera.v] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sumanta.ch
module async_transmitter(clk, TxD_start, TxD_data, TxD, TxD_busy);
2
input clk, TxD_start;
3
input [7:0] TxD_data;
4
output TxD, TxD_busy;
5
 
6 7 sumanta.ch
//parameter ClkFrequency = 50000000; // 50MHz
7
parameter ClkFrequency = 27000000; // 27MHz
8 4 sumanta.ch
parameter Baud = 115200;
9
 
10
// Baud generator
11
parameter BaudGeneratorAccWidth = 16;
12
parameter BaudGeneratorInc = ((Baud<<(BaudGeneratorAccWidth-4))+(ClkFrequency>>5))/(ClkFrequency>>4);
13
reg [BaudGeneratorAccWidth:0] BaudGeneratorAcc;
14
wire BaudTick = BaudGeneratorAcc[BaudGeneratorAccWidth];
15
wire TxD_busy;
16
always @(posedge clk) if(TxD_busy) BaudGeneratorAcc <= BaudGeneratorAcc[BaudGeneratorAccWidth-1:0] + BaudGeneratorInc;
17
 
18
// Transmitter state machine
19
reg [3:0] state;
20
assign TxD_busy = (state!=0);
21
 
22
always @(posedge clk)
23
case(state)
24
  4'b0000: if(TxD_start) state <= 4'b0100;
25
  4'b0100: if(BaudTick) state <= 4'b1000;  // start
26
  4'b1000: if(BaudTick) state <= 4'b1001;  // bit 0
27
  4'b1001: if(BaudTick) state <= 4'b1010;  // bit 1
28
  4'b1010: if(BaudTick) state <= 4'b1011;  // bit 2
29
  4'b1011: if(BaudTick) state <= 4'b1100;  // bit 3
30
  4'b1100: if(BaudTick) state <= 4'b1101;  // bit 4
31
  4'b1101: if(BaudTick) state <= 4'b1110;  // bit 5
32
  4'b1110: if(BaudTick) state <= 4'b1111;  // bit 6
33
  4'b1111: if(BaudTick) state <= 4'b0001;  // bit 7
34
  4'b0001: if(BaudTick) state <= 4'b0010;  // stop1
35
  4'b0010: if(BaudTick) state <= 4'b0000;  // stop2
36
  default: if(BaudTick) state <= 4'b0000;
37
endcase
38
 
39
// Output mux
40
reg muxbit;
41
always @(state[2:0] or TxD_data)
42
case(state[2:0])
43
  0: muxbit <= TxD_data[0];
44
  1: muxbit <= TxD_data[1];
45
  2: muxbit <= TxD_data[2];
46
  3: muxbit <= TxD_data[3];
47
  4: muxbit <= TxD_data[4];
48
  5: muxbit <= TxD_data[5];
49
  6: muxbit <= TxD_data[6];
50
  7: muxbit <= TxD_data[7];
51
endcase
52
 
53
// Put together the start, data and stop bits
54
reg TxD;
55
always @(posedge clk) TxD <= (state<4) | (state[3] & muxbit);  // register the output to make it glitch free
56
 
57
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.