OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [DE2/] [quartus.tcl] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sumanta.ch
 
2
#set project_name connect6ai_synth_tcab   
3
#set top_level    connect6ai_synth_tcab
4
set project_name DE2
5
set top_level DE2
6
set family       "CycloneII"
7
set device       EP2C35F672C6
8
set sdc_constraints  ../constraints.sdc
9
 
10
# create new project
11
project_new ${project_name}
12
 
13
# project settings
14
 
15
set_global_assignment -name TOP_LEVEL_ENTITY ${top_level}
16
set_global_assignment -name family ${family}
17
set_global_assignment -name device ${device}
18
set_global_assignment -name SDC_FILE ${sdc_constraints}
19
set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS OFF
20
#set_global_assignment -name AUTO_RESOURCE_SHARING ON
21
 
22
create_base_clock -fmax 50MHz clk -target OSC_50
23
#verilog files
24
set mcsfiles [glob -directory ../../../macrocells -nocomplain -tails -types f -- {*\.v}]
25
foreach mcs ${mcsfiles} {
26
 if [ regexp -- {assertions} ${mcs} ] {
27
    continue
28
}
29
  set_global_assignment -name VERILOG_FILE "../../../macrocells/${mcs}"
30
}
31
 
32
set rtlfiles [glob -directory ../../../rtl -nocomplain -tails -types f -- {*\.v}]
33
foreach rtl ${rtlfiles} {
34
  if [ regexp -- {assertions} ${rtl} ] {
35
      continue
36
  }
37
  set_global_assignment -name VERILOG_FILE "../../../rtl/${rtl}"
38
}
39 8 sumanta.ch
#set_global_assignment -name VERILOG_FILE ../../../../rtl_package/simu_stubs/vsim/bram_based_stream_buffer.v
40 4 sumanta.ch
#DE2 files
41
set de2files [glob -directory  ../../../../DE2/ -nocomplain -tails -types f -- {*\.v}]
42
foreach mcs ${de2files} {
43
 if [ regexp -- {assertions} ${mcs} ] {
44
    continue
45
}
46
  set_global_assignment -name VERILOG_FILE "../../../../DE2/${mcs}"
47
}
48
set de2files [glob -directory  ../../../../DE2/ -nocomplain -tails -types f -- {*\.vhd}]
49
foreach mcs ${de2files} {
50
 if [ regexp -- {assertions} ${mcs} ] {
51
    continue
52
}
53
  set_global_assignment -name VHDL_FILE "../../../../DE2/${mcs}"
54
}
55 8 sumanta.ch
set_global_assignment -name VHDL_FILE "../../../../DE2/pll/pll.vhd"
56
set_global_assignment -name MISC_FILE "../../../../DE2/pll/pll_inst.vhd"
57
set_global_assignment -name MISC_FILE "../../../../DE2/pll/pll.cmp"
58
set_global_assignment -name MISC_FILE "../../../../DE2/pll/pll.ppf"
59
set_global_assignment -name MISC_FILE "../../../../DE2/pll/pll_syn.v"
60 4 sumanta.ch
 
61
# run the flow   
62
#execute_flow -compile
63
 
64
#load_package flow
65
#execute_module -tool map
66
#set name_ids [get_names -filter * -node_type pin]
67
#foreach_in_collection name_id $name_ids {
68
# set pin_name [get_name_info -info full_path $name_id]
69
# post_message "Making VIRTUAL_PIN assignment to $pin_name"
70
# set_instance_assignment -to $pin_name -name VIRTUAL_PIN ON
71
#}
72
 
73
# Pin & Location Assignments
74
# ==========================
75
set_location_assignment PIN_N25 -to DPDT_SW[0]
76
set_location_assignment PIN_N26 -to DPDT_SW[1]
77
set_location_assignment PIN_P25 -to DPDT_SW[2]
78
set_location_assignment PIN_AE14 -to DPDT_SW[3]
79
set_location_assignment PIN_AF14 -to DPDT_SW[4]
80
set_location_assignment PIN_AD13 -to DPDT_SW[5]
81
set_location_assignment PIN_AC13 -to DPDT_SW[6]
82
set_location_assignment PIN_C13 -to DPDT_SW[7]
83
set_location_assignment PIN_B13 -to DPDT_SW[8]
84
set_location_assignment PIN_A13 -to DPDT_SW[9]
85
set_location_assignment PIN_N1 -to DPDT_SW[10]
86
set_location_assignment PIN_P1 -to DPDT_SW[11]
87
set_location_assignment PIN_P2 -to DPDT_SW[12]
88
set_location_assignment PIN_T7 -to DPDT_SW[13]
89
set_location_assignment PIN_U3 -to DPDT_SW[14]
90
set_location_assignment PIN_U4 -to DPDT_SW[15]
91
set_location_assignment PIN_V1 -to DPDT_SW[16]
92
set_location_assignment PIN_V2 -to DPDT_SW[17]
93
set_location_assignment PIN_T6 -to DRAM_ADDR[0]
94
set_location_assignment PIN_V4 -to DRAM_ADDR[1]
95
set_location_assignment PIN_V3 -to DRAM_ADDR[2]
96
set_location_assignment PIN_W2 -to DRAM_ADDR[3]
97
set_location_assignment PIN_W1 -to DRAM_ADDR[4]
98
set_location_assignment PIN_U6 -to DRAM_ADDR[5]
99
set_location_assignment PIN_U7 -to DRAM_ADDR[6]
100
set_location_assignment PIN_U5 -to DRAM_ADDR[7]
101
set_location_assignment PIN_W4 -to DRAM_ADDR[8]
102
set_location_assignment PIN_W3 -to DRAM_ADDR[9]
103
set_location_assignment PIN_Y1 -to DRAM_ADDR[10]
104
set_location_assignment PIN_V5 -to DRAM_ADDR[11]
105
set_location_assignment PIN_AE2 -to DRAM_BA_0
106
set_location_assignment PIN_AE3 -to DRAM_BA_1
107
set_location_assignment PIN_AB3 -to DRAM_CAS_N
108
set_location_assignment PIN_AA6 -to DRAM_CKE
109
set_location_assignment PIN_AA7 -to DRAM_CLK
110
set_location_assignment PIN_AC3 -to DRAM_CS_N
111
set_location_assignment PIN_V6 -to DRAM_DQ[0]
112
set_location_assignment PIN_AA2 -to DRAM_DQ[1]
113
set_location_assignment PIN_AA1 -to DRAM_DQ[2]
114
set_location_assignment PIN_Y3 -to DRAM_DQ[3]
115
set_location_assignment PIN_Y4 -to DRAM_DQ[4]
116
set_location_assignment PIN_R8 -to DRAM_DQ[5]
117
set_location_assignment PIN_T8 -to DRAM_DQ[6]
118
set_location_assignment PIN_V7 -to DRAM_DQ[7]
119
set_location_assignment PIN_W6 -to DRAM_DQ[8]
120
set_location_assignment PIN_AB2 -to DRAM_DQ[9]
121
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
122
set_location_assignment PIN_AA4 -to DRAM_DQ[11]
123
set_location_assignment PIN_AA3 -to DRAM_DQ[12]
124
set_location_assignment PIN_AC2 -to DRAM_DQ[13]
125
set_location_assignment PIN_AC1 -to DRAM_DQ[14]
126
set_location_assignment PIN_AA5 -to DRAM_DQ[15]
127
set_location_assignment PIN_AD2 -to DRAM_LDQM
128
set_location_assignment PIN_Y5 -to DRAM_UDQM
129
set_location_assignment PIN_AB4 -to DRAM_RAS_N
130
set_location_assignment PIN_AD3 -to DRAM_WE_N
131
set_location_assignment PIN_AC18 -to FL_ADDR[0]
132
set_location_assignment PIN_AB18 -to FL_ADDR[1]
133
set_location_assignment PIN_AE19 -to FL_ADDR[2]
134
set_location_assignment PIN_AF19 -to FL_ADDR[3]
135
set_location_assignment PIN_AE18 -to FL_ADDR[4]
136
set_location_assignment PIN_AF18 -to FL_ADDR[5]
137
set_location_assignment PIN_Y16 -to FL_ADDR[6]
138
set_location_assignment PIN_AA16 -to FL_ADDR[7]
139
set_location_assignment PIN_AD17 -to FL_ADDR[8]
140
set_location_assignment PIN_AC17 -to FL_ADDR[9]
141
set_location_assignment PIN_AE17 -to FL_ADDR[10]
142
set_location_assignment PIN_AF17 -to FL_ADDR[11]
143
set_location_assignment PIN_W16 -to FL_ADDR[12]
144
set_location_assignment PIN_W15 -to FL_ADDR[13]
145
set_location_assignment PIN_AC16 -to FL_ADDR[14]
146
set_location_assignment PIN_AD16 -to FL_ADDR[15]
147
set_location_assignment PIN_AE16 -to FL_ADDR[16]
148
set_location_assignment PIN_AC15 -to FL_ADDR[17]
149
set_location_assignment PIN_AB15 -to FL_ADDR[18]
150
set_location_assignment PIN_AA15 -to FL_ADDR[19]
151
set_location_assignment PIN_V17 -to FL_CE_N
152
set_location_assignment PIN_W17 -to FL_OE_N
153
set_location_assignment PIN_AD19 -to FL_DQ[0]
154
set_location_assignment PIN_AC19 -to FL_DQ[1]
155
set_location_assignment PIN_AF20 -to FL_DQ[2]
156
set_location_assignment PIN_AE20 -to FL_DQ[3]
157
set_location_assignment PIN_AB20 -to FL_DQ[4]
158
set_location_assignment PIN_AC20 -to FL_DQ[5]
159
set_location_assignment PIN_AF21 -to FL_DQ[6]
160
set_location_assignment PIN_AE21 -to FL_DQ[7]
161
set_location_assignment PIN_AA18 -to FL_RST_N
162
set_location_assignment PIN_AA17 -to FL_WE_N
163
set_location_assignment PIN_AF10 -to HEX0[0]
164
set_location_assignment PIN_AB12 -to HEX0[1]
165
set_location_assignment PIN_AC12 -to HEX0[2]
166
set_location_assignment PIN_AD11 -to HEX0[3]
167
set_location_assignment PIN_AE11 -to HEX0[4]
168
set_location_assignment PIN_V14 -to HEX0[5]
169
set_location_assignment PIN_V13 -to HEX0[6]
170
set_location_assignment PIN_V20 -to HEX1[0]
171
set_location_assignment PIN_V21 -to HEX1[1]
172
set_location_assignment PIN_W21 -to HEX1[2]
173
set_location_assignment PIN_Y22 -to HEX1[3]
174
set_location_assignment PIN_AA24 -to HEX1[4]
175
set_location_assignment PIN_AA23 -to HEX1[5]
176
set_location_assignment PIN_AB24 -to HEX1[6]
177
set_location_assignment PIN_AB23 -to HEX2[0]
178
set_location_assignment PIN_V22 -to HEX2[1]
179
set_location_assignment PIN_AC25 -to HEX2[2]
180
set_location_assignment PIN_AC26 -to HEX2[3]
181
set_location_assignment PIN_AB26 -to HEX2[4]
182
set_location_assignment PIN_AB25 -to HEX2[5]
183
set_location_assignment PIN_Y24 -to HEX2[6]
184
set_location_assignment PIN_Y23 -to HEX3[0]
185
set_location_assignment PIN_AA25 -to HEX3[1]
186
set_location_assignment PIN_AA26 -to HEX3[2]
187
set_location_assignment PIN_Y26 -to HEX3[3]
188
set_location_assignment PIN_Y25 -to HEX3[4]
189
set_location_assignment PIN_U22 -to HEX3[5]
190
set_location_assignment PIN_W24 -to HEX3[6]
191
set_location_assignment PIN_U9 -to HEX4[0]
192
set_location_assignment PIN_U1 -to HEX4[1]
193
set_location_assignment PIN_U2 -to HEX4[2]
194
set_location_assignment PIN_T4 -to HEX4[3]
195
set_location_assignment PIN_R7 -to HEX4[4]
196
set_location_assignment PIN_R6 -to HEX4[5]
197
set_location_assignment PIN_T3 -to HEX4[6]
198
set_location_assignment PIN_T2 -to HEX5[0]
199
set_location_assignment PIN_P6 -to HEX5[1]
200
set_location_assignment PIN_P7 -to HEX5[2]
201
set_location_assignment PIN_T9 -to HEX5[3]
202
set_location_assignment PIN_R5 -to HEX5[4]
203
set_location_assignment PIN_R4 -to HEX5[5]
204
set_location_assignment PIN_R3 -to HEX5[6]
205
set_location_assignment PIN_R2 -to HEX6[0]
206
set_location_assignment PIN_P4 -to HEX6[1]
207
set_location_assignment PIN_P3 -to HEX6[2]
208
set_location_assignment PIN_M2 -to HEX6[3]
209
set_location_assignment PIN_M3 -to HEX6[4]
210
set_location_assignment PIN_M5 -to HEX6[5]
211
set_location_assignment PIN_M4 -to HEX6[6]
212
set_location_assignment PIN_L3 -to HEX7[0]
213
set_location_assignment PIN_L2 -to HEX7[1]
214
set_location_assignment PIN_L9 -to HEX7[2]
215
set_location_assignment PIN_L6 -to HEX7[3]
216
set_location_assignment PIN_L7 -to HEX7[4]
217
set_location_assignment PIN_P9 -to HEX7[5]
218
set_location_assignment PIN_N9 -to HEX7[6]
219
set_location_assignment PIN_G26 -to KEY[0]
220
set_location_assignment PIN_N23 -to KEY[1]
221
set_location_assignment PIN_P23 -to KEY[2]
222
set_location_assignment PIN_W26 -to KEY[3]
223
set_location_assignment PIN_AE23 -to LED_RED[0]
224
set_location_assignment PIN_AF23 -to LED_RED[1]
225
set_location_assignment PIN_AB21 -to LED_RED[2]
226
set_location_assignment PIN_AC22 -to LED_RED[3]
227
set_location_assignment PIN_AD22 -to LED_RED[4]
228
set_location_assignment PIN_AD23 -to LED_RED[5]
229
set_location_assignment PIN_AD21 -to LED_RED[6]
230
set_location_assignment PIN_AC21 -to LED_RED[7]
231
set_location_assignment PIN_AA14 -to LED_RED[8]
232
set_location_assignment PIN_Y13 -to LED_RED[9]
233
set_location_assignment PIN_AA13 -to LED_RED[10]
234
set_location_assignment PIN_AC14 -to LED_RED[11]
235
set_location_assignment PIN_AD15 -to LED_RED[12]
236
set_location_assignment PIN_AE15 -to LED_RED[13]
237
set_location_assignment PIN_AF13 -to LED_RED[14]
238
set_location_assignment PIN_AE13 -to LED_RED[15]
239
set_location_assignment PIN_AE12 -to LED_RED[16]
240
set_location_assignment PIN_AD12 -to LED_RED[17]
241
set_location_assignment PIN_AE22 -to LED_GREEN[0]
242
set_location_assignment PIN_AF22 -to LED_GREEN[1]
243
set_location_assignment PIN_W19 -to LED_GREEN[2]
244
set_location_assignment PIN_V18 -to LED_GREEN[3]
245
set_location_assignment PIN_U18 -to LED_GREEN[4]
246
set_location_assignment PIN_U17 -to LED_GREEN[5]
247
set_location_assignment PIN_AA20 -to LED_GREEN[6]
248
set_location_assignment PIN_Y18 -to LED_GREEN[7]
249
set_location_assignment PIN_Y12 -to LED_GREEN[8]
250
set_location_assignment PIN_D13 -to OSC_27
251
set_location_assignment PIN_N2 -to OSC_50
252
set_location_assignment PIN_P26 -to EXT_CLOCK
253
set_location_assignment PIN_D26 -to PS2_CLK
254
set_location_assignment PIN_C24 -to PS2_DAT
255
set_location_assignment PIN_C25 -to UART_RXD
256
set_location_assignment PIN_B25 -to UART_TXD
257
set_location_assignment PIN_K4 -to LCD_RW
258
set_location_assignment PIN_K3 -to LCD_EN
259
set_location_assignment PIN_K1 -to LCD_RS
260
set_location_assignment PIN_J1 -to LCD_DATA[0]
261
set_location_assignment PIN_J2 -to LCD_DATA[1]
262
set_location_assignment PIN_H1 -to LCD_DATA[2]
263
set_location_assignment PIN_H2 -to LCD_DATA[3]
264
set_location_assignment PIN_J4 -to LCD_DATA[4]
265
set_location_assignment PIN_J3 -to LCD_DATA[5]
266
set_location_assignment PIN_H4 -to LCD_DATA[6]
267
set_location_assignment PIN_H3 -to LCD_DATA[7]
268
set_location_assignment PIN_L4 -to LCD_ON
269
set_location_assignment PIN_K2 -to LCD_BLON
270
set_location_assignment PIN_AE4 -to SRAM_ADDR[0]
271
set_location_assignment PIN_AF4 -to SRAM_ADDR[1]
272
set_location_assignment PIN_AC5 -to SRAM_ADDR[2]
273
set_location_assignment PIN_AC6 -to SRAM_ADDR[3]
274
set_location_assignment PIN_AD4 -to SRAM_ADDR[4]
275
set_location_assignment PIN_AD5 -to SRAM_ADDR[5]
276
set_location_assignment PIN_AE5 -to SRAM_ADDR[6]
277
set_location_assignment PIN_AF5 -to SRAM_ADDR[7]
278
set_location_assignment PIN_AD6 -to SRAM_ADDR[8]
279
set_location_assignment PIN_AD7 -to SRAM_ADDR[9]
280
set_location_assignment PIN_V10 -to SRAM_ADDR[10]
281
set_location_assignment PIN_V9 -to SRAM_ADDR[11]
282
set_location_assignment PIN_AC7 -to SRAM_ADDR[12]
283
set_location_assignment PIN_W8 -to SRAM_ADDR[13]
284
set_location_assignment PIN_W10 -to SRAM_ADDR[14]
285
set_location_assignment PIN_Y10 -to SRAM_ADDR[15]
286
set_location_assignment PIN_AB8 -to SRAM_ADDR[16]
287
set_location_assignment PIN_AC8 -to SRAM_ADDR[17]
288
set_location_assignment PIN_AD8 -to SRAM_DQ[0]
289
set_location_assignment PIN_AE6 -to SRAM_DQ[1]
290
set_location_assignment PIN_AF6 -to SRAM_DQ[2]
291
set_location_assignment PIN_AA9 -to SRAM_DQ[3]
292
set_location_assignment PIN_AA10 -to SRAM_DQ[4]
293
set_location_assignment PIN_AB10 -to SRAM_DQ[5]
294
set_location_assignment PIN_AA11 -to SRAM_DQ[6]
295
set_location_assignment PIN_Y11 -to SRAM_DQ[7]
296
set_location_assignment PIN_AE7 -to SRAM_DQ[8]
297
set_location_assignment PIN_AF7 -to SRAM_DQ[9]
298
set_location_assignment PIN_AE8 -to SRAM_DQ[10]
299
set_location_assignment PIN_AF8 -to SRAM_DQ[11]
300
set_location_assignment PIN_W11 -to SRAM_DQ[12]
301
set_location_assignment PIN_W12 -to SRAM_DQ[13]
302
set_location_assignment PIN_AC9 -to SRAM_DQ[14]
303
set_location_assignment PIN_AC10 -to SRAM_DQ[15]
304
set_location_assignment PIN_AE10 -to SRAM_WE_N
305
set_location_assignment PIN_AD10 -to SRAM_OE_N
306
set_location_assignment PIN_AF9 -to SRAM_UB_N
307
set_location_assignment PIN_AE9 -to SRAM_LB_N
308
set_location_assignment PIN_AC11 -to SRAM_CE_N
309
set_location_assignment PIN_K7 -to OTG_ADDR[0]
310
set_location_assignment PIN_F2 -to OTG_ADDR[1]
311
set_location_assignment PIN_F1 -to OTG_CS_N
312
set_location_assignment PIN_G2 -to OTG_RD_N
313
set_location_assignment PIN_G1 -to OTG_WR_N
314
set_location_assignment PIN_G5 -to OTG_RST_N
315
set_location_assignment PIN_F4 -to OTG_DATA[0]
316
set_location_assignment PIN_D2 -to OTG_DATA[1]
317
set_location_assignment PIN_D1 -to OTG_DATA[2]
318
set_location_assignment PIN_F7 -to OTG_DATA[3]
319
set_location_assignment PIN_J5 -to OTG_DATA[4]
320
set_location_assignment PIN_J8 -to OTG_DATA[5]
321
set_location_assignment PIN_J7 -to OTG_DATA[6]
322
set_location_assignment PIN_H6 -to OTG_DATA[7]
323
set_location_assignment PIN_E2 -to OTG_DATA[8]
324
set_location_assignment PIN_E1 -to OTG_DATA[9]
325
set_location_assignment PIN_K6 -to OTG_DATA[10]
326
set_location_assignment PIN_K5 -to OTG_DATA[11]
327
set_location_assignment PIN_G4 -to OTG_DATA[12]
328
set_location_assignment PIN_G3 -to OTG_DATA[13]
329
set_location_assignment PIN_J6 -to OTG_DATA[14]
330
set_location_assignment PIN_K8 -to OTG_DATA[15]
331
set_location_assignment PIN_B3 -to OTG_INT0
332
set_location_assignment PIN_C3 -to OTG_INT1
333
set_location_assignment PIN_C2 -to OTG_DACK0_N
334
set_location_assignment PIN_B2 -to OTG_DACK1_N
335
set_location_assignment PIN_F6 -to OTG_DREQ0
336
set_location_assignment PIN_E5 -to OTG_DREQ1
337
set_location_assignment PIN_F3 -to OTG_FSPEED
338
set_location_assignment PIN_G6 -to OTG_LSPEED
339
set_location_assignment PIN_B14 -to TDI
340
set_location_assignment PIN_A14 -to TCS
341
set_location_assignment PIN_D14 -to TCK
342
set_location_assignment PIN_F14 -to TDO
343
set_location_assignment PIN_C4 -to TD_RESET
344
set_location_assignment PIN_C8 -to VGA_R[0]
345
set_location_assignment PIN_F10 -to VGA_R[1]
346
set_location_assignment PIN_G10 -to VGA_R[2]
347
set_location_assignment PIN_D9 -to VGA_R[3]
348
set_location_assignment PIN_C9 -to VGA_R[4]
349
set_location_assignment PIN_A8 -to VGA_R[5]
350
set_location_assignment PIN_H11 -to VGA_R[6]
351
set_location_assignment PIN_H12 -to VGA_R[7]
352
set_location_assignment PIN_F11 -to VGA_R[8]
353
set_location_assignment PIN_E10 -to VGA_R[9]
354
set_location_assignment PIN_B9 -to VGA_G[0]
355
set_location_assignment PIN_A9 -to VGA_G[1]
356
set_location_assignment PIN_C10 -to VGA_G[2]
357
set_location_assignment PIN_D10 -to VGA_G[3]
358
set_location_assignment PIN_B10 -to VGA_G[4]
359
set_location_assignment PIN_A10 -to VGA_G[5]
360
set_location_assignment PIN_G11 -to VGA_G[6]
361
set_location_assignment PIN_D11 -to VGA_G[7]
362
set_location_assignment PIN_E12 -to VGA_G[8]
363
set_location_assignment PIN_D12 -to VGA_G[9]
364
set_location_assignment PIN_J13 -to VGA_B[0]
365
set_location_assignment PIN_J14 -to VGA_B[1]
366
set_location_assignment PIN_F12 -to VGA_B[2]
367
set_location_assignment PIN_G12 -to VGA_B[3]
368
set_location_assignment PIN_J10 -to VGA_B[4]
369
set_location_assignment PIN_J11 -to VGA_B[5]
370
set_location_assignment PIN_C11 -to VGA_B[6]
371
set_location_assignment PIN_B11 -to VGA_B[7]
372
set_location_assignment PIN_C12 -to VGA_B[8]
373
set_location_assignment PIN_B12 -to VGA_B[9]
374
set_location_assignment PIN_B8 -to VGA_CLK
375
set_location_assignment PIN_D6 -to VGA_BLANK
376
set_location_assignment PIN_A7 -to VGA_HS
377
set_location_assignment PIN_D8 -to VGA_VS
378
set_location_assignment PIN_B7 -to VGA_SYNC
379
set_location_assignment PIN_A6 -to I2C_SCLK
380
set_location_assignment PIN_B6 -to I2C_SDAT
381
set_location_assignment PIN_J9 -to TD_DATA[0]
382
set_location_assignment PIN_E8 -to TD_DATA[1]
383
set_location_assignment PIN_H8 -to TD_DATA[2]
384
set_location_assignment PIN_H10 -to TD_DATA[3]
385
set_location_assignment PIN_G9 -to TD_DATA[4]
386
set_location_assignment PIN_F9 -to TD_DATA[5]
387
set_location_assignment PIN_D7 -to TD_DATA[6]
388
set_location_assignment PIN_C7 -to TD_DATA[7]
389
set_location_assignment PIN_D5 -to TD_HS
390
set_location_assignment PIN_K9 -to TD_VS
391
set_location_assignment PIN_C5 -to AUD_ADCLRCK
392
set_location_assignment PIN_B5 -to AUD_ADCDAT
393
set_location_assignment PIN_C6 -to AUD_DACLRCK
394
set_location_assignment PIN_A4 -to AUD_DACDAT
395
set_location_assignment PIN_A5 -to AUD_XCK
396
set_location_assignment PIN_B4 -to AUD_BCLK
397
set_location_assignment PIN_D17 -to ENET_DATA[0]
398
set_location_assignment PIN_C17 -to ENET_DATA[1]
399
set_location_assignment PIN_B18 -to ENET_DATA[2]
400
set_location_assignment PIN_A18 -to ENET_DATA[3]
401
set_location_assignment PIN_B17 -to ENET_DATA[4]
402
set_location_assignment PIN_A17 -to ENET_DATA[5]
403
set_location_assignment PIN_B16 -to ENET_DATA[6]
404
set_location_assignment PIN_B15 -to ENET_DATA[7]
405
set_location_assignment PIN_B20 -to ENET_DATA[8]
406
set_location_assignment PIN_A20 -to ENET_DATA[9]
407
set_location_assignment PIN_C19 -to ENET_DATA[10]
408
set_location_assignment PIN_D19 -to ENET_DATA[11]
409
set_location_assignment PIN_B19 -to ENET_DATA[12]
410
set_location_assignment PIN_A19 -to ENET_DATA[13]
411
set_location_assignment PIN_E18 -to ENET_DATA[14]
412
set_location_assignment PIN_D18 -to ENET_DATA[15]
413
set_location_assignment PIN_B24 -to ENET_CLK
414
set_location_assignment PIN_A21 -to ENET_CMD
415
set_location_assignment PIN_A23 -to ENET_CS_N
416
set_location_assignment PIN_B21 -to ENET_INT
417
set_location_assignment PIN_A22 -to ENET_RD_N
418
set_location_assignment PIN_B22 -to ENET_WR_N
419
set_location_assignment PIN_B23 -to ENET_RST_N
420
set_location_assignment PIN_AE24 -to IRDA_TXD
421
set_location_assignment PIN_AE25 -to IRDA_RXD
422
set_location_assignment PIN_AD24 -to SD_DAT
423
set_location_assignment PIN_AC23 -to SD_DAT3
424
set_location_assignment PIN_Y21 -to SD_CMD
425
set_location_assignment PIN_AD25 -to SD_CLK
426
#set_location_assignment PIN_D25 -to GPIO_0[0]
427
#set_location_assignment PIN_J22 -to GPIO_0[1]
428
#set_location_assignment PIN_E26 -to GPIO_0[2]
429
#set_location_assignment PIN_E25 -to GPIO_0[3]
430
#set_location_assignment PIN_F24 -to GPIO_0[4]
431
#set_location_assignment PIN_F23 -to GPIO_0[5]
432
#set_location_assignment PIN_J21 -to GPIO_0[6]
433
set_location_assignment PIN_J21 -to UART_RXD_JP1_7
434
#set_location_assignment PIN_J20 -to GPIO_0[7]
435
#set_location_assignment PIN_F25 -to GPIO_0[8]
436
#set_location_assignment PIN_F26 -to GPIO_0[9]
437
set_location_assignment PIN_N18 -to GPIO_0_10
438
#set_location_assignment PIN_P18 -to GPIO_0[11]
439
#set_location_assignment PIN_G23 -to GPIO_0[12]
440
#set_location_assignment PIN_G24 -to GPIO_0[13]
441
#set_location_assignment PIN_K22 -to GPIO_0[14]
442
#set_location_assignment PIN_G25 -to GPIO_0[15]
443
#set_location_assignment PIN_H23 -to GPIO_0[16]
444
#set_location_assignment PIN_H24 -to GPIO_0[17]
445
#set_location_assignment PIN_J23 -to GPIO_0[18]
446
#set_location_assignment PIN_J24 -to GPIO_0[19]
447
#set_location_assignment PIN_H25 -to GPIO_0[20]
448
set_location_assignment PIN_H25 -to I_OR7_JP1_35_bis
449
#set_location_assignment PIN_H26 -to GPIO_0[21]
450
#set_location_assignment PIN_H19 -to GPIO_0[22]
451
set_location_assignment PIN_H19 -to I_OR8_JP1_36_bis
452
#set_location_assignment PIN_K18 -to GPIO_0_[23]
453
#set_location_assignment PIN_K19 -to GPIO_0[24]
454
set_location_assignment PIN_K19 -to UART_TXD_JP1_27
455
#set_location_assignment PIN_K21 -to GPIO_0[25]
456
#set_location_assignment PIN_K23 -to GPIO_0[26]
457
#set_location_assignment PIN_K24 -to GPIO_0[27]
458
#set_location_assignment PIN_L21 -to GPIO_0[28]
459
#set_location_assignment PIN_L20 -to GPIO_0[29]
460
#set_location_assignment PIN_J25 -to GPIO_0[30]
461
set_location_assignment PIN_J25 -to I_OR7_JP1_35
462
#set_location_assignment PIN_J26 -to GPIO_0[31]
463
set_location_assignment PIN_J26 -to I_OR8_JP1_36
464
#set_location_assignment PIN_L23 -to GPIO_0[32]
465
set_location_assignment PIN_L23 -to CONFIG_MODE_JP1_37
466
#set_location_assignment PIN_L24 -to GPIO_0[33]
467
set_location_assignment PIN_L24 -to I_OT2_JP1_38
468
#set_location_assignment PIN_L25 -to GPIO_0[34]
469
#set_location_assignment PIN_L19 -to GPIO_0[35]
470
set_location_assignment PIN_L25 -to INIT_JP1_39
471
#set_location_assignment PIN_K25 -to GPIO_1[0]
472
set_location_assignment PIN_K25 -to I_OT7_JP2_41
473
#set_location_assignment PIN_K26 -to GPIO_1[1]
474
set_location_assignment PIN_K26 -to I_OT6_JP2_42
475
#set_location_assignment PIN_M22 -to GPIO_1[2]
476
set_location_assignment PIN_M22 -to I_OT4_JP2_43
477
#set_location_assignment PIN_M23 -to GPIO_1[3]
478
set_location_assignment PIN_M23 -to I_OT3_JP2_44
479
#set_location_assignment PIN_M19 -to GPIO_1[4]
480
set_location_assignment PIN_M19 -to I_OT1_JP2_45
481
#set_location_assignment PIN_M20 -to GPIO_1[5]
482
set_location_assignment PIN_M20 -to I_OT0_JP2_46
483
#set_location_assignment PIN_N20 -to GPIO_1[6]
484
set_location_assignment PIN_N20 -to CONFIG_OUT0_JP2_47
485
#set_location_assignment PIN_M21 -to GPIO_1[7]
486
set_location_assignment PIN_M21 -to I_OL6_JP2_48
487
#set_location_assignment PIN_M24 -to GPIO_1[8]
488
set_location_assignment PIN_M24 -to CONFIG_OUT1_JP2_49
489
#set_location_assignment PIN_M25 -to GPIO_1[9]
490
set_location_assignment PIN_M25 -to I_OL3_JP2_50
491
#set_location_assignment PIN_N24 -to GPIO_1_10
492
set_location_assignment PIN_N24 -to I_OL7_JP2_53
493
#set_location_assignment PIN_P24 -to GPIO_1[11]
494
set_location_assignment PIN_P24 -to I_OL0_JP2_54
495
#set_location_assignment PIN_R25 -to GPIO_1[12]
496
set_location_assignment PIN_R25 -to I_OL4_JP2_55
497
#set_location_assignment PIN_R24 -to GPIO_1[13]
498
set_location_assignment PIN_R24 -to I_OL8_JP2_56
499
#set_location_assignment PIN_R20 -to GPIO_1[14]
500
set_location_assignment PIN_R20 -to I_OL1_JP2_57
501
#set_location_assignment PIN_T22 -to GPIO_1[15]
502
set_location_assignment PIN_T22 -to I_OT8_JP2_58
503
#set_location_assignment PIN_T23 -to GPIO_1_16
504
set_location_assignment PIN_T23 -to I_OL2_JP2_59
505
#set_location_assignment PIN_T24 -to GPIO_1[17]
506
set_location_assignment PIN_T24 -to I_OB2_JP2_60
507
#set_location_assignment PIN_T25 -to GPIO_1[18]
508
set_location_assignment PIN_T25 -to I_OL5_JP2_61
509
#set_location_assignment PIN_T18 -to GPIO_1[19]
510
set_location_assignment PIN_T18 -to I_OB5_JP2_62
511
#set_location_assignment PIN_T21 -to GPIO_1[20]
512
set_location_assignment PIN_T21 -to I_OT5_JP2_63
513
#set_location_assignment PIN_T20 -to GPIO_1[21]
514
set_location_assignment PIN_T20 -to I_OR2_JP2_64
515
#set_location_assignment PIN_U26 -to GPIO_1[22]
516
set_location_assignment PIN_U26 -to I_OB8_JP2_65
517
#set_location_assignment PIN_U25 -to GPIO_1[23]
518
set_location_assignment PIN_U25 -to CONFIG_ACK_IN_JP2_66
519
#set_location_assignment PIN_U23 -to GPIO_1[24]
520
set_location_assignment PIN_U23 -to I_OR5_JP2_67
521
#set_location_assignment PIN_U24 -to GPIO_1[25]
522
set_location_assignment PIN_U24 -to I_OB0_JP2_68
523
#set_location_assignment PIN_R19 -to GPIO_1[26]
524
set_location_assignment PIN_R19 -to I_OB1_JP2_71
525
#set_location_assignment PIN_T19 -to GPIO_1[27]
526
set_location_assignment PIN_T19 -to I_OB3_JP2_72
527
#set_location_assignment PIN_U20 -to GPIO_1[28]
528
set_location_assignment PIN_U20 -to I_OB4_JP2_73
529
#set_location_assignment PIN_U21 -to GPIO_1[29]
530
set_location_assignment PIN_U21 -to I_OB6_JP2_74
531
#set_location_assignment PIN_V26 -to GPIO_1[30]
532
set_location_assignment PIN_V26 -to I_OB7_JP2_75
533
#set_location_assignment PIN_V25 -to GPIO_1[31]
534
set_location_assignment PIN_V25 -to I_OR0_JP2_76
535
#set_location_assignment PIN_V24 -to GPIO_1[32]
536
set_location_assignment PIN_V24 -to I_OR1_JP2_77
537
#set_location_assignment PIN_V23 -to GPIO_1[33]
538
set_location_assignment PIN_V23 -to I_OR3_JP2_78
539
#set_location_assignment PIN_W25 -to GPIO_1[34]
540
set_location_assignment PIN_W25 -to I_OR4_JP2_79
541
#set_location_assignment PIN_W23 -to GPIO_1[35]
542
set_location_assignment PIN_W23 -to I_OR6_JP2_80
543
 
544
 
545
# Assembler Assignments
546
# =====================
547
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
548
 
549
 
550
export_assignments
551
 
552
# close the project
553
project_close
554
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.