OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [trunk/] [logical.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thebeekeep
-- 10/25/2005
2
-- Logical Unit
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
entity logical is port(
8
        a:      in std_logic_vector(15 downto 0);
9
        b:      in std_logic_vector(15 downto 0);
10
        fcn:    in std_logic_vector(2 downto 0);
11
        o:      out std_logic_vector(15 downto 0)
12
);
13
end logical;
14
 
15
architecture logic_arch of logical is
16
begin
17
        logical_logic: process(fcn)
18
        begin
19
                case fcn is
20
                        when "000" =>                   -- not
21
                                o <= not(a);
22
                        when "001" =>                   -- and
23
                                o <= a and b;
24
                        when "010" =>                   -- or
25
                                o <= a or b;
26
                        when "011" =>                   -- xor
27
                                o <= a xor b;
28
                        when "100" =>                   -- nand
29
                                o <= a nand b;
30
                        when "101" =>                   -- nor
31
                                o <= a nor b;
32
                        when others =>
33
                                o <= x"0000";
34
                end case;
35
        end process logical_logic;
36
end logic_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.