OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [trunk/] [prog_rom.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thebeekeep
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity prog_rom is port (
5
  input:        in std_logic_vector(15 downto 0);
6
  output:       out std_logic_vector(15 downto 0)
7
  );
8
end;
9
 
10
architecture rom_arch of prog_rom is
11
begin
12
  process(input)
13
  begin
14
    case input is
15
      when "0000000000000000" =>        -- these are adds because otherwise
16
        output <= "0010000000000000";   -- it'll never get out of idle
17
      when "0000000000000001" =>
18
        output <= "0010000000000000";
19
      when "0000000000000010" =>
20
        output <= "0100000000001100";   --lli 6
21
      when "0000000000000011" =>
22
        output <= "0100000000000001";   -- lui 0
23
      when "0000000000000100" =>
24
        output <= "0100001000000100";   -- lli 2
25
      when "0000000000000101" =>
26
        output <= "0100001000000001";   -- lui 0
27
      when "0000000000000110" =>
28
        output <= "0010010000001000";   -- add r2 <- r0 + r1
29
        --when "0000000000001000" =>
30
        --output <= "0011000001010011";
31
        --when "0000000000001001" =>
32
        --output <= "0011000001010100";
33
        --when "0000000000001010" =>
34
        --output <= "0011000001010101";
35
        --when "0000000000001011" =>
36
        --output <= "1000000001000000";
37
        --when "0000000000001100" =>
38
        --output <= "1001000001000000";
39
        --when "0000000000001101" =>
40
        --output <= "1010000001000000";
41
        --when "0000000000001110" =>
42
        --output <= "1010000001000001";
43
        --when "0000000000001111" =>
44
        --output <= "0101000000000000";
45
        --when "0000000000010000" =>
46
        --output <= "0101000000000001";
47
        --when "0000000000010001" =>
48
        --output <= "0101000000000010";
49
      when others =>
50
        output <= "1111000000000000";
51
    end case;
52
  end process;
53
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.